content
stringlengths
2
1.05M
avg_line_length
float64
1
696k
max_line_length
int64
1
943k
alphanum_fraction
float64
0
1
licenses
sequence
repository_name
stringlengths
4
119
path
stringlengths
3
297
size
int64
2
1.05M
lang
stringclasses
30 values
cluster
int64
1
5
.global s_prepare_buffers s_prepare_buffers: push %r10 push %r11 push %r13 push %r15 push %r9 push %rbx push %rcx push %rdi push %rsi lea addresses_D_ht+0x1532, %rbx nop sub %r15, %r15 movw $0x6162, (%rbx) nop nop nop nop nop add %r13, %r13 lea addresses_UC_ht+0xac72, %rcx nop nop nop nop nop xor $63492, %r10 movw $0x6162, (%rcx) nop nop cmp $52783, %r15 lea addresses_A_ht+0xd7f2, %r9 clflush (%r9) nop nop nop nop nop xor %rcx, %rcx mov (%r9), %r11d nop nop nop nop nop cmp %rcx, %rcx lea addresses_normal_ht+0x1a2aa, %r13 cmp $31476, %rcx movb $0x61, (%r13) nop nop add %r10, %r10 lea addresses_UC_ht+0x672, %rsi lea addresses_UC_ht+0x1e272, %rdi clflush (%rdi) nop nop nop nop inc %r11 mov $39, %rcx rep movsw nop xor %r11, %r11 lea addresses_A_ht+0x2372, %rsi lea addresses_D_ht+0x1ed88, %rdi cmp $43777, %rbx mov $68, %rcx rep movsb nop add %r13, %r13 lea addresses_WT_ht+0x8172, %rcx nop nop nop nop cmp %rbx, %rbx movb $0x61, (%rcx) sub $64440, %rdi lea addresses_normal_ht+0x13ffc, %rcx inc %rdi mov (%rcx), %r10d nop nop nop and %r11, %r11 lea addresses_WC_ht+0x11090, %r11 sub %r9, %r9 movb (%r11), %bl nop nop nop nop xor $39997, %rbx lea addresses_WC_ht+0x7e72, %rdi nop nop nop nop nop cmp $36803, %rbx movw $0x6162, (%rdi) nop nop nop nop and %r10, %r10 pop %rsi pop %rdi pop %rcx pop %rbx pop %r9 pop %r15 pop %r13 pop %r11 pop %r10 ret .global s_faulty_load s_faulty_load: push %r12 push %r14 push %r9 push %rax push %rcx push %rsi // Faulty Load lea addresses_WC+0x4672, %rsi nop nop nop nop nop xor $8376, %rax movb (%rsi), %r9b lea oracles, %r14 and $0xff, %r9 shlq $12, %r9 mov (%r14,%r9,1), %r9 pop %rsi pop %rcx pop %rax pop %r9 pop %r14 pop %r12 ret /* <gen_faulty_load> [REF] {'src': {'same': True, 'congruent': 0, 'NT': False, 'type': 'addresses_WC', 'size': 1, 'AVXalign': False}, 'OP': 'LOAD'} [Faulty Load] {'src': {'same': True, 'congruent': 0, 'NT': False, 'type': 'addresses_WC', 'size': 1, 'AVXalign': False}, 'OP': 'LOAD'} <gen_prepare_buffer> {'OP': 'STOR', 'dst': {'same': False, 'congruent': 4, 'NT': False, 'type': 'addresses_D_ht', 'size': 2, 'AVXalign': False}} {'OP': 'STOR', 'dst': {'same': False, 'congruent': 7, 'NT': False, 'type': 'addresses_UC_ht', 'size': 2, 'AVXalign': False}} {'src': {'same': False, 'congruent': 7, 'NT': False, 'type': 'addresses_A_ht', 'size': 4, 'AVXalign': True}, 'OP': 'LOAD'} {'OP': 'STOR', 'dst': {'same': False, 'congruent': 3, 'NT': False, 'type': 'addresses_normal_ht', 'size': 1, 'AVXalign': False}} {'src': {'type': 'addresses_UC_ht', 'congruent': 11, 'same': False}, 'OP': 'REPM', 'dst': {'type': 'addresses_UC_ht', 'congruent': 7, 'same': False}} {'src': {'type': 'addresses_A_ht', 'congruent': 8, 'same': False}, 'OP': 'REPM', 'dst': {'type': 'addresses_D_ht', 'congruent': 1, 'same': False}} {'OP': 'STOR', 'dst': {'same': False, 'congruent': 8, 'NT': False, 'type': 'addresses_WT_ht', 'size': 1, 'AVXalign': False}} {'src': {'same': False, 'congruent': 1, 'NT': False, 'type': 'addresses_normal_ht', 'size': 4, 'AVXalign': False}, 'OP': 'LOAD'} {'src': {'same': False, 'congruent': 0, 'NT': False, 'type': 'addresses_WC_ht', 'size': 1, 'AVXalign': False}, 'OP': 'LOAD'} {'OP': 'STOR', 'dst': {'same': False, 'congruent': 10, 'NT': False, 'type': 'addresses_WC_ht', 'size': 2, 'AVXalign': False}} {'38': 21829} 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 */
37.1
2,999
0.655621
[ "MIT" ]
danielmgmi/medusa
Transynther/_processed/NONE/_xt_/i3-7100_9_0xca_notsx.log_21829_842.asm
6,307
Assembly
4
;****************************************************************************** ;* Copyright (c) 2012 Michael Niedermayer ;* ;* This file is part of FFmpeg. ;* ;* FFmpeg is free software; you can redistribute it and/or ;* modify it under the terms of the GNU Lesser General Public ;* License as published by the Free Software Foundation; either ;* version 2.1 of the License, or (at your option) any later version. ;* ;* FFmpeg is distributed in the hope that it will be useful, ;* but WITHOUT ANY WARRANTY; without even the implied warranty of ;* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU ;* Lesser General Public License for more details. ;* ;* You should have received a copy of the GNU Lesser General Public ;* License along with FFmpeg; if not, write to the Free Software ;* Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA ;****************************************************************************** %include "libavutil/x86/x86util.asm" SECTION_RODATA 32 dw1: times 8 dd 1 w1 : times 16 dw 1 SECTION .text %macro MIX2_FLT 1 cglobal mix_2_1_%1_float, 7, 7, 6, out, in1, in2, coeffp, index1, index2, len %ifidn %1, a test in1q, mmsize-1 jne mix_2_1_float_u_int %+ SUFFIX test in2q, mmsize-1 jne mix_2_1_float_u_int %+ SUFFIX test outq, mmsize-1 jne mix_2_1_float_u_int %+ SUFFIX %else mix_2_1_float_u_int %+ SUFFIX: %endif VBROADCASTSS m4, [coeffpq + 4*index1q] VBROADCASTSS m5, [coeffpq + 4*index2q] shl lend , 2 add in1q , lenq add in2q , lenq add outq , lenq neg lenq .next: %ifidn %1, a mulps m0, m4, [in1q + lenq ] mulps m1, m5, [in2q + lenq ] mulps m2, m4, [in1q + lenq + mmsize] mulps m3, m5, [in2q + lenq + mmsize] %else movu m0, [in1q + lenq ] movu m1, [in2q + lenq ] movu m2, [in1q + lenq + mmsize] movu m3, [in2q + lenq + mmsize] mulps m0, m0, m4 mulps m1, m1, m5 mulps m2, m2, m4 mulps m3, m3, m5 %endif addps m0, m0, m1 addps m2, m2, m3 mov%1 [outq + lenq ], m0 mov%1 [outq + lenq + mmsize], m2 add lenq, mmsize*2 jl .next REP_RET %endmacro %macro MIX1_FLT 1 cglobal mix_1_1_%1_float, 5, 5, 3, out, in, coeffp, index, len %ifidn %1, a test inq, mmsize-1 jne mix_1_1_float_u_int %+ SUFFIX test outq, mmsize-1 jne mix_1_1_float_u_int %+ SUFFIX %else mix_1_1_float_u_int %+ SUFFIX: %endif VBROADCASTSS m2, [coeffpq + 4*indexq] shl lenq , 2 add inq , lenq add outq , lenq neg lenq .next: %ifidn %1, a mulps m0, m2, [inq + lenq ] mulps m1, m2, [inq + lenq + mmsize] %else movu m0, [inq + lenq ] movu m1, [inq + lenq + mmsize] mulps m0, m0, m2 mulps m1, m1, m2 %endif mov%1 [outq + lenq ], m0 mov%1 [outq + lenq + mmsize], m1 add lenq, mmsize*2 jl .next REP_RET %endmacro %macro MIX1_INT16 1 cglobal mix_1_1_%1_int16, 5, 5, 6, out, in, coeffp, index, len %ifidn %1, a test inq, mmsize-1 jne mix_1_1_int16_u_int %+ SUFFIX test outq, mmsize-1 jne mix_1_1_int16_u_int %+ SUFFIX %else mix_1_1_int16_u_int %+ SUFFIX: %endif movd m4, [coeffpq + 4*indexq] SPLATW m5, m4 psllq m4, 32 psrlq m4, 48 mova m0, [w1] psllw m0, m4 psrlw m0, 1 punpcklwd m5, m0 add lenq , lenq add inq , lenq add outq , lenq neg lenq .next: mov%1 m0, [inq + lenq ] mov%1 m2, [inq + lenq + mmsize] mova m1, m0 mova m3, m2 punpcklwd m0, [w1] punpckhwd m1, [w1] punpcklwd m2, [w1] punpckhwd m3, [w1] pmaddwd m0, m5 pmaddwd m1, m5 pmaddwd m2, m5 pmaddwd m3, m5 psrad m0, m4 psrad m1, m4 psrad m2, m4 psrad m3, m4 packssdw m0, m1 packssdw m2, m3 mov%1 [outq + lenq ], m0 mov%1 [outq + lenq + mmsize], m2 add lenq, mmsize*2 jl .next %if mmsize == 8 emms RET %else REP_RET %endif %endmacro %macro MIX2_INT16 1 cglobal mix_2_1_%1_int16, 7, 7, 8, out, in1, in2, coeffp, index1, index2, len %ifidn %1, a test in1q, mmsize-1 jne mix_2_1_int16_u_int %+ SUFFIX test in2q, mmsize-1 jne mix_2_1_int16_u_int %+ SUFFIX test outq, mmsize-1 jne mix_2_1_int16_u_int %+ SUFFIX %else mix_2_1_int16_u_int %+ SUFFIX: %endif movd m4, [coeffpq + 4*index1q] movd m6, [coeffpq + 4*index2q] SPLATW m5, m4 SPLATW m6, m6 psllq m4, 32 psrlq m4, 48 mova m7, [dw1] pslld m7, m4 psrld m7, 1 punpcklwd m5, m6 add lend , lend add in1q , lenq add in2q , lenq add outq , lenq neg lenq .next: mov%1 m0, [in1q + lenq ] mov%1 m2, [in2q + lenq ] mova m1, m0 punpcklwd m0, m2 punpckhwd m1, m2 mov%1 m2, [in1q + lenq + mmsize] mov%1 m6, [in2q + lenq + mmsize] mova m3, m2 punpcklwd m2, m6 punpckhwd m3, m6 pmaddwd m0, m5 pmaddwd m1, m5 pmaddwd m2, m5 pmaddwd m3, m5 paddd m0, m7 paddd m1, m7 paddd m2, m7 paddd m3, m7 psrad m0, m4 psrad m1, m4 psrad m2, m4 psrad m3, m4 packssdw m0, m1 packssdw m2, m3 mov%1 [outq + lenq ], m0 mov%1 [outq + lenq + mmsize], m2 add lenq, mmsize*2 jl .next %if mmsize == 8 emms RET %else REP_RET %endif %endmacro INIT_MMX mmx MIX1_INT16 u MIX1_INT16 a MIX2_INT16 u MIX2_INT16 a INIT_XMM sse MIX2_FLT u MIX2_FLT a MIX1_FLT u MIX1_FLT a INIT_XMM sse2 MIX1_INT16 u MIX1_INT16 a MIX2_INT16 u MIX2_INT16 a %if HAVE_AVX_EXTERNAL INIT_YMM avx MIX2_FLT u MIX2_FLT a MIX1_FLT u MIX1_FLT a %endif
24.478088
79
0.550944
[ "MIT" ]
0xsky/srs
trunk/3rdparty/ffmpeg-4-fit/libswresample/x86/rematrix.asm
6,144
Assembly
4
.file "a30.c" .text .globl main .type main, @function main: subl $4, %esp movl 12(%esp), %eax movl (%eax), %eax movl %eax, (%esp) call toto .L08048311: addl $4, %esp ret .size main, .-main # ---------------------- .L08048315: .p2align 3 # ---------------------- .local func1 .type func1, @function func1: rep; ret .size func1, .-func1 # ---------------------- .L080483D2: .p2align 4 # ---------------------- .local func2 .type func2, @function func2: rep; ret .size func2, .-func2 # ---------------------- .L080483E2: .p2align 4 # ---------------------- .globl toto .type toto, @function toto: subl $8, %esp movl $68, %eax movl %edi, 4(%esp) movl 12(%esp), %edi movl %esi, (%esp) movl $init, %esi testl $1, %edi jne .L08048448 .L08048410: testl $2, %edi jne .L08048468 .L08048418: movl %eax, %ecx xorl %edx, %edx shrl $2, %ecx testb $2, %al rep movsl je .L08048430 .L08048425: movzwl (%esi), %edx movw %dx, (%edi) movl $2, %edx .L08048430: testb $1, %al je .L0804843B .L08048434: movzbl (%esi,%edx), %eax movb %al, (%edi,%edx) .L0804843B: movl (%esp), %esi movl 4(%esp), %edi addl $8, %esp ret .L08048446: .p2align 3 .L08048448: movzbl init, %eax movl $init+1, %esi movb %al, (%edi) addl $1, %edi movl $67, %eax testl $2, %edi je .L08048418 .L08048466: .p2align 3 .L08048468: movzwl (%esi), %edx subl $2, %eax addl $2, %esi movw %dx, (%edi) addl $2, %edi jmp .L08048418 .size toto, .-toto # ---------------------- .data .LD0804A028: .zero 24 # ---------------------- .local init .type init, @object init: .long 1 .long value .long func1 .long func2 .long 0 .long 0 .long 0 .long 0 .long 0 .long 0 .long 0 .long 0 .long 0 .long 0 .long 0 .long 0 .long 0 .size init, 68 # ---------------------- .bss .align 32 .globl value .type value, @object value: .zero 68 .size value, 68 # ---------------------- .ident "GCC: (Ubuntu/Linaro 4.6.3-1ubuntu5) 4.6.3" .section .note.GNU-stack,"",@progbits
17.192308
51
0.486801
[ "Apache-2.0" ]
LRGH/plasmasm
non_regression/other_x86_linux.out.asm
2,235
Assembly
4
;******************************************************************************* ; MSP430x24x Demo - USCI_A0, UART 9600 Full-Duplex Transceiver, 32kHz ACLK ; ; Description: USCI_A0 communicates continously as fast as possible full- ; duplex with another device. Normal mode is LPM3, with activity only during ; RX and TX ISR's. The TX ISR indicates the USCI_A0 is ready to send another ; character. The RX ISR indicates the USCI_A0 has received a character. At ; 9600 baud, a full character is tranceived ~1ms. ; The levels on P1.4/5 are TX'ed. RX'ed value is displayed on P1.0/1. ; ACLK = BRCLK = LFXT1 = 32768, MCLK = SMCLK = DCO ~1.045MHz ; Baud rate divider with 32768Hz XTAL @9600 = 32768Hz/9600 = 3.41 ; //* An external watch crystal is required on XIN XOUT for ACLK *// ; ; MSP430F249 MSP430F249 ; ----------------- ----------------- ; | XIN|- /|\| XIN|- ; | | 32kHz | | | 32kHz ; | XOUT|- --|RST XOUT|- ; | | /|\ | | ; | RST|--- | | ; | | | | ; ->|P1.4 | | P1.0|-> LED ; ->|P1.5 | | P1.1|-> LED ; LED <-|P1.0 | | P1.4|<- ; LED <-|P1.1 | | P1.5|<- ; | UCA0TXD/P3.4|--------->|P3.5 | ; | | 9600 | | ; | UCA0RXD/P3.5|<---------|P3.4 | ; ; ; JL Bile ; Texas Instruments Inc. ; May 2008 ; Built Code Composer Essentials: v3 FET ;******************************************************************************* .cdecls C,LIST, "msp430x24x.h" ;------------------------------------------------------------------------------- .text ;Program Start ;------------------------------------------------------------------------------- RESET mov.w #0500h,SP ; Initialize stackpointer StopWDT mov.w #WDTPW+WDTHOLD,&WDTCTL ; Stop watchdog timer SetupP1 mov.b #000h,&P1OUT ; P1.0/1 setup for LED output bis.b #003h,&P1DIR ; SetupP3 bis.b #030h,&P3SEL ; Use P3.4/P3.5 for USCI_A0 SetupUSCI0 bis.b #UCSSEL_1,&UCA0CTL1 ; CLK = ACLK mov.b #03h,&UCA0BR0 ; 32kHz/9600 = 3.41 mov.b #00h,&UCA0BR1 ; mov.b #UCBRS1+UCBRS0,&UCA0MCTL; Modulation UCBRSx = 3 bic.b #UCSWRST,&UCA0CTL1 ; **Initialize USCI state machine** bis.b #UCA0RXIE+UCA0TXIE,&IE2 ; Enable USCI_A0 TX/RX interrupt ; Mainloop bis.b #LPM3+GIE,SR ; Enter LPM3 w/ interrupts enabled nop ; Required for debugger only ; ;------------------------------------------------------------------------------- USCI0TX_ISR; ;------------------------------------------------------------------------------- mov.b &P1IN,R4 ; rrc.b R4 ; Justify 4x right rrc.b R4 ; rrc.b R4 ; rrc.b R4 ; and.b #03h,R4 ; mov.b R4,&UCA0TXBUF ; Transmit character reti ; Exit ISR ;------------------------------------------------------------------------------- USCI0RX_ISR; ;------------------------------------------------------------------------------- mov.b &UCA0RXBUF,&P1OUT ; Display RX'ed charater reti ; Exit ISR ; ;------------------------------------------------------------------------------- ; Interrupt Vectors ;------------------------------------------------------------------------------- .sect ".int23" ; .short USCI0RX_ISR ; USCI0 transmit .sect ".int22" ; .short USCI0TX_ISR ; USCI0 receive .sect ".reset" ; .short RESET ; POR, ext. Reset, Watchdog .end
56.463415
81
0.323974
[ "BSD-3-Clause" ]
tp-freeforall/breakfast
apps/breakfast/pde_fw/toast/examples/Assembly (CCE)/msp430x24x_uscia0_uart_08_9600.asm
4,630
Assembly
4
COMMENT }%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% Copyright (c) Berkeley Softworks 1993 -- All Rights Reserved PROJECT: PC GEOS MODULE: printer drivers FILE: pageEnd.asm AUTHOR: Dave Durran ROUTINES: Name Description ---- ----------- PrintEndPage Tidy up the page-related variables, called once/page by EXTERNAL at end of page. REVISION HISTORY: Name Date Description ---- ---- ----------- Dave 2/93 initial version DESCRIPTION: $Id: pageEnd.asm,v 1.1 97/04/18 11:51:42 newdeal Exp $ %%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%} COMMENT }%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% PrintEndPage %%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% SYNOPSIS: Use PrFormFeed to get to the next TOF, clean up , and exit page. CALLED BY: GLOBAL PASS: bp - PSTATE segment address. cl - Suppress form feed flag, C_FF is FF non-suppressed RETURN: DESTROYED: PSEUDO CODE/STRATEGY: KNOWN BUGS/SIDE EFFECTS/IDEAS: nothing REVISION HISTORY: Name Date Description ---- ---- ----------- Dave 2/93 Initial version %%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%} PrintEndPage proc far uses ax,cx, es, dx .enter mov es, bp ;get rid of more than 1 integral form length. clr ax mov dx,es:PS_customHeight ;get the paper size in Y. call PrConvertToDriverCoordinates mov ax,es:PS_cursorPos.P_y ;get the current Y pos. checkIfMoreThanPage: sub ax,dx ;subtract a paper size js nosub mov es:PS_cursorPos.P_y,ax ;set the current Y pos. jmp checkIfMoreThanPage nosub: ; make sure all the styles are reset at the printer for ; the next page. Use version that doesn't care about ; NLQ mode, since we want to biff it call PrintClearStyles ; set clear styles @ printer jc exit ; see if the spooler is in the suppress formfeed mode. cmp cl,C_FF clc jne exit call PrFormFeed ;execute this printer's specific FF ;routine. jc exit exit: .leave ret PrintEndPage endp
22.163265
79
0.55709
[ "Apache-2.0" ]
BOBBYWY/pcgeos
Driver/Printer/PrintCom/Page/pageEnd.asm
2,172
Assembly
4
; A124502: a(1)=a(2)=1; thereafter, a(n+1) = a(n) + a(n-1) + 1 if n is a multiple of 5, otherwise a(n+1) = a(n) + a(n-1). ; 1,1,2,3,5,9,14,23,37,60,98,158,256,414,670,1085,1755,2840,4595,7435,12031,19466,31497,50963,82460,133424,215884,349308,565192,914500,1479693,2394193,3873886,6268079,10141965,16410045,26552010,42962055,69514065,112476120 add $0,1 lpb $0 mov $2,$0 trn $0,5 seq $2,22354 ; Fibonacci sequence beginning 0, 20. add $1,$2 lpe div $1,20 mov $0,$1
36.384615
221
0.678647
[ "Apache-2.0" ]
ckrause/cm
programs/oeis/124/A124502.asm
473
Assembly
4
; A038571: Number of times n must be differentiated to reach 0. ; 0,1,2,1,3,2,2,1,4,3,2,3,3,2,2,1,5,4,4,3,3,2,3,4,4,3,2,3,3,2,2,1,6,5,4,5,4,5,3,4,4,3,2,3,3,4,4,5,5,4,4,3,3,2,3,4,4,3,2,3,3,2,2,1,7,6,6,5,4,5,6,5,4,5,6,5,3,4,4,5,5,4,4,3,3,2,3,4,4,3,5,4,5,4,5,6,6,5,4,5 lpb $0 mov $2,$0 seq $2,38554 ; Derivative of n: write n in binary, replace each pair of adjacent bits with their mod 2 sum (a(0)=a(1)=0 by convention). Also n XOR (n shift 1). mov $0,$2 add $3,243 lpe mov $0,$3 div $0,243
41.5
201
0.598394
[ "Apache-2.0" ]
ckrause/loda-programs
oeis/038/A038571.asm
498
Assembly
4
.thumb .org 0x0 mov r3,#0 ldr r0,NewTSA ldr r1,BG1MapBuffer loop: ldr r2,[r0] str r2,[r1] add r0,#4 add r1,#4 add r3,#1 ldr r2,=0x200 cmp r3,r2 bhs stoploop b loop stoploop: ldr r0,=0x0809C676|1 bx r0 .align .ltorg BG1MapBuffer: .long 0x020234A8 NewTSA:
10.384615
21
0.681481
[ "CC0-1.0" ]
sme23/Christmas2
Engine Hacks/Strmag/Str Mag Split/Prep Screen and Mag Booster/New TSA.asm
270
Assembly
4
%include 'textmode.h' ; Make the whole screen purple xor di, di mov cx, 0x07d0 mov ah, 0x99 rep stosw mov si, brick mov di, 272 call drawsprite mov di, 1536 call drawsprite mov di, 1552 call drawsprite mov di, 1566 call drawsprite floor: mov di, 2720 add word [floor + 1], 16 call drawsprite cmp word [floor + 1], 2880 jne floor mov si, c_cactus mov di, 0 call drawsprite mov di, 32 call drawsprite mov di, 1312 call drawsprite mov si, a_cactus mov di, 16 call drawsprite mov si, t_cactus mov di, 48 call drawsprite mov si, u_cactus mov di, 64 call drawsprite mov si, s_cactus mov di, 78 call drawsprite mov si, o_cactus mov di, 1328 call drawsprite mov si, n_cactus mov di, 1344 call drawsprite mov si, cactus mov di, 1446 call drawsprite jmp end %include 'drawsprite_8x8_4c.h' end: jmp end ;first 2 bytes is the color scheme (each nibble picks a color) ;imagedata cactus: db 0x49, 0xA0, 0x01, 0x40, 0x05, 0x50, 0x46, 0x91, 0x57, 0xD5, 0x05, 0x50, 0x05, 0x54, 0x05, 0x45, 0x54, 0x01 brick: db 0x06, 0x70, 0x15, 0xD4, 0x40, 0xD3, 0x40, 0xCC, 0x40, 0xD7, 0x40, 0xD3, 0xF0, 0xC3, 0x5F, 0x43, 0x33, 0x7C c_cactus: db 0x0E, 0x28, 0x00, 0x00, 0x01, 0x54, 0x05, 0x54, 0x05, 0x00, 0x05, 0x00, 0x09, 0x54, 0x09, 0x54, 0x02, 0xA8 a_cactus: db 0x0E, 0xF8, 0x01, 0x00, 0x05, 0x40, 0x15, 0x50, 0x16, 0x58, 0x15, 0x58, 0x16, 0x58, 0x16, 0x58, 0x0A, 0x28 t_cactus: db 0x0E, 0x58, 0x00, 0x00, 0x15, 0x54, 0x09, 0x68, 0x01, 0x60, 0x01, 0x60, 0x01, 0x60, 0x01, 0x60, 0x00, 0xA0 u_cactus: db 0x0E, 0xB8, 0x00, 0x00, 0x05, 0x14, 0x05, 0x14, 0x05, 0x14, 0x05, 0x14, 0x05, 0x14, 0x01, 0x58, 0x00, 0xA0 s_cactus: db 0x0E, 0xC8, 0x00, 0x00, 0x01, 0x40, 0x06, 0x90, 0x05, 0xA0, 0x02, 0x40, 0x05, 0x50, 0x01, 0x60, 0x02, 0x80 o_cactus: db 0x0E, 0xD8, 0x00, 0x00, 0x01, 0x50, 0x05, 0x54, 0x05, 0x94, 0x05, 0x14, 0x05, 0x54, 0x09, 0x58, 0x02, 0xA0 n_cactus: db 0x0E, 0x38, 0x00, 0x00, 0x10, 0x10, 0x15, 0x18, 0x15, 0x58, 0x16, 0x58, 0x16, 0x58, 0x26, 0x98, 0x0A, 0x20 ;BIOS sig and padding times 510-($-$$) db 0 dw 0xAA55
22.522222
111
0.704983
[ "BSD-2-Clause" ]
XlogicX/CactusCon2017
SLIDE_Title.asm
2,027
Assembly
4
; A184063: Number of (n+1) X 2 binary arrays with rows and columns in nondecreasing order and with no 2 X 2 subblock sum differing from a horizontal or vertical neighbor subblock sum by more than one. ; 7,11,20,34,54,81,116,160,214,279,356,446,550,669,804,956,1126,1315,1524,1754,2006,2281,2580,2904,3254,3631,4036,4470,4934,5429,5956,6516,7110,7739,8404,9106,9846,10625,11444,12304,13206,14151,15140,16174,17254,18381,19556,20780,22054,23379,24756,26186,27670,29209,30804,32456,34166,35935,37764,39654,41606,43621,45700,47844,50054,52331,54676,57090,59574,62129,64756,67456,70230,73079,76004,79006,82086,85245,88484,91804,95206,98691,102260,105914,109654,113481,117396,121400,125494,129679,133956 mov $1,5 add $1,$0 bin $1,2 mul $1,$0 sub $1,2 div $1,3 add $1,7 mov $0,$1
64.5
496
0.777778
[ "Apache-2.0" ]
ckrause/loda-programs
oeis/184/A184063.asm
774
Assembly
4
; ; FibonacciAsm.asm ; ; Created: 9/17/2021 9:14:24 AM ; Author : Harish ; ;Assembly Code to store first 10 numbers of Fibonacci Series(Starting with 0,1) in Registers R11 to R20. .CSEG LDI R21, LOW(RAMEND) OUT SPL, R21 LDI R21, HIGH(RAMEND) OUT SPH, R21 LDI ZL, LOW(NUM<<1) LDI ZH, HIGH(NUM<<1) LPM R1, Z+ LPM R2, Z LDI ZL, 0x0B LDI ZH, 0x00 LDI R23, 0x0A loop: PUSH R2 ADD R2, R1 ST Z+, R1 POP R1 DEC R23 BRNE loop vetti: RJMP vetti NUM: .DB 0x00, 0x01
13.552632
105
0.615534
[ "MIT" ]
HR-1-1/CourseWork
EE2016/MISCELS/FibonacciNum.asm
515
Assembly
4
; A022976: 20-n. ; 20,19,18,17,16,15,14,13,12,11,10,9,8,7,6,5,4,3,2,1,0,-1,-2,-3,-4,-5,-6,-7,-8,-9,-10,-11,-12,-13,-14,-15,-16,-17,-18,-19,-20,-21,-22,-23,-24,-25,-26,-27,-28,-29,-30,-31,-32,-33,-34,-35,-36,-37,-38,-39,-40 sub $0,20 mul $0,-120259084286 mov $1,$0 div $1,120259084286
35.625
205
0.547368
[ "Apache-2.0" ]
jmorken/loda
programs/oeis/022/A022976.asm
285
Assembly
4
Codigo Segment public delay1,delay2 Assume cs:codigo Delay1 proc Far eti: loop eti ret Delay1 endP Delay2 proc Far ;como estan en otro segmento de codigo tiene que ser Far mov bp,sp mov cx,2*2[bp] eti1: loop eti1 ret 2 ;hace pop cx Delay2 endP Codigo EndS End
14.47619
73
0.667763
[ "MIT" ]
TEC-2014092195/IC3101-Arquitectura_De_Computadores
Tareas/Ensamblador/Librerias y procedimientos/libpro(1).asm
304
Assembly
4
; A168175: Expansion of 1/(1 - 4*x + 7*x^2). ; Submitted by Jon Maiga ; 1,4,9,8,-31,-180,-503,-752,513,7316,25673,51480,26209,-255524,-1205559,-3033568,-3695359,6453540,51681673,161551912,284435937,6880364,-1963530103,-7902282960,-17864421119,-16141703756,60484132809,354928457528,996324900449,1500800399100,-971072706743,-14389893620672,-50762065535487,-102319006797244,-53941568440567,500466773818440,2379458074357729,6014564880701836,7402053002303241,-12493742155699888,-101789339638922239,-319701163465789740,-566279276390703287,-27208961302284968,3855119089525783137 add $0,1 mov $2,1 lpb $0 sub $0,1 mov $1,$2 add $3,$2 mul $2,3 sub $2,$3 mul $3,2 add $3,$1 lpe mov $0,$3 div $0,3
39
501
0.767806
[ "Apache-2.0" ]
ckrause/loda-programs
oeis/168/A168175.asm
702
Assembly
4
; A047229: Numbers that are congruent to {0, 2, 3, 4} mod 6. ; 0,2,3,4,6,8,9,10,12,14,15,16,18,20,21,22,24,26,27,28,30,32,33,34,36,38,39,40,42,44,45,46,48,50,51,52,54,56,57,58,60,62,63,64,66,68,69,70,72,74,75,76,78,80,81,82,84,86,87,88,90,92,93,94,96,98,99,100,102,104,105,106,108,110,111,112,114,116,117,118,120,122,123,124,126,128,129,130,132,134,135,136,138,140,141,142,144,146,147,148,150,152,153,154,156,158,159,160,162,164,165,166,168,170,171,172,174,176,177,178,180,182,183,184,186,188,189,190,192,194,195,196,198,200,201,202,204,206,207,208,210,212,213,214,216,218,219,220,222,224,225,226,228,230,231,232,234,236,237,238,240,242,243,244,246,248,249,250,252,254,255,256,258,260,261,262,264,266,267,268,270,272,273,274,276,278,279,280,282,284,285,286,288,290,291,292,294,296,297,298,300,302,303,304,306,308,309,310,312,314,315,316,318,320,321,322,324,326,327,328,330,332,333,334,336,338,339,340,342,344,345,346,348,350,351,352,354,356,357,358,360,362,363,364,366,368,369,370,372,374 mov $1,$0 add $0,14 mod $0,4 mul $1,6 add $1,$0 div $1,4
104.7
927
0.713467
[ "Apache-2.0" ]
jmorken/loda
programs/oeis/047/A047229.asm
1,047
Assembly
4
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; ; Copyright(c) 2011-2016 Intel Corporation All rights reserved. ; ; Redistribution and use in source and binary forms, with or without ; modification, are permitted provided that the following conditions ; are met: ; * Redistributions of source code must retain the above copyright ; notice, this list of conditions and the following disclaimer. ; * Redistributions in binary form must reproduce the above copyright ; notice, this list of conditions and the following disclaimer in ; the documentation and/or other materials provided with the ; distribution. ; * Neither the name of Intel Corporation nor the names of its ; contributors may be used to endorse or promote products derived ; from this software without specific prior written permission. ; ; THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS ; "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT ; LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR ; A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT ; OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, ; SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT ; LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, ; DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY ; THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT ; (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE ; OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; default rel [bits 64] %include "reg_sizes.asm" extern isal_deflate_body_base extern isal_deflate_body_01 extern isal_deflate_body_02 extern isal_deflate_body_04 extern isal_deflate_finish_base extern isal_deflate_finish_01 extern isal_deflate_icf_body_hash_hist_base extern isal_deflate_icf_body_hash_hist_01 extern isal_deflate_icf_body_hash_hist_02 extern isal_deflate_icf_body_hash_hist_04 extern isal_deflate_icf_finish_hash_hist_base extern isal_deflate_icf_finish_hash_hist_01 extern isal_deflate_icf_finish_hash_map_base extern isal_update_histogram_base extern isal_update_histogram_01 extern isal_update_histogram_04 extern gen_icf_map_h1_base extern gen_icf_map_lh1_04 extern encode_deflate_icf_base extern encode_deflate_icf_04 extern set_long_icf_fg_base extern set_long_icf_fg_04 %ifdef HAVE_AS_KNOWS_AVX512 extern encode_deflate_icf_06 extern set_long_icf_fg_06 extern gen_icf_map_lh1_06 %endif extern adler32_base extern adler32_avx2_4 extern adler32_sse extern isal_deflate_hash_base extern isal_deflate_hash_crc_01 extern isal_deflate_hash_mad_base extern icf_body_hash1_fillgreedy_lazy extern icf_body_lazyhash1_fillgreedy_greedy section .text %include "multibinary.asm" mbin_interface isal_deflate_body mbin_dispatch_init5 isal_deflate_body, isal_deflate_body_base, isal_deflate_body_01, isal_deflate_body_02, isal_deflate_body_04 mbin_interface isal_deflate_finish mbin_dispatch_init5 isal_deflate_finish, isal_deflate_finish_base, isal_deflate_finish_01, isal_deflate_finish_01, isal_deflate_finish_01 mbin_interface isal_deflate_icf_body_lvl1 mbin_dispatch_init5 isal_deflate_icf_body_lvl1, isal_deflate_icf_body_hash_hist_base, isal_deflate_icf_body_hash_hist_01, isal_deflate_icf_body_hash_hist_02, isal_deflate_icf_body_hash_hist_04 mbin_interface isal_deflate_icf_body_lvl2 mbin_dispatch_init5 isal_deflate_icf_body_lvl2, isal_deflate_icf_body_hash_hist_base, isal_deflate_icf_body_hash_hist_01, isal_deflate_icf_body_hash_hist_02, isal_deflate_icf_body_hash_hist_04 mbin_interface isal_deflate_icf_body_lvl3 mbin_dispatch_init5 isal_deflate_icf_body_lvl3, icf_body_hash1_fillgreedy_lazy, icf_body_hash1_fillgreedy_lazy, icf_body_hash1_fillgreedy_lazy, icf_body_lazyhash1_fillgreedy_greedy mbin_interface isal_deflate_icf_finish_lvl1 mbin_dispatch_init5 isal_deflate_icf_finish_lvl1, isal_deflate_icf_finish_hash_hist_base, isal_deflate_icf_finish_hash_hist_01, isal_deflate_icf_finish_hash_hist_01, isal_deflate_icf_finish_hash_hist_01 mbin_interface isal_deflate_icf_finish_lvl2 mbin_dispatch_init5 isal_deflate_icf_finish_lvl2, isal_deflate_icf_finish_hash_hist_base, isal_deflate_icf_finish_hash_hist_01, isal_deflate_icf_finish_hash_hist_01, isal_deflate_icf_finish_hash_hist_01 mbin_interface isal_deflate_icf_finish_lvl3 mbin_dispatch_init5 isal_deflate_icf_finish_lvl3, isal_deflate_icf_finish_hash_map_base, isal_deflate_icf_finish_hash_map_base, isal_deflate_icf_finish_hash_map_base, isal_deflate_icf_finish_hash_map_base mbin_interface isal_update_histogram mbin_dispatch_init5 isal_update_histogram, isal_update_histogram_base, isal_update_histogram_01, isal_update_histogram_01, isal_update_histogram_04 mbin_interface encode_deflate_icf mbin_dispatch_init6 encode_deflate_icf, encode_deflate_icf_base, encode_deflate_icf_base, encode_deflate_icf_base, encode_deflate_icf_04, encode_deflate_icf_06 mbin_interface set_long_icf_fg mbin_dispatch_init6 set_long_icf_fg, set_long_icf_fg_base, set_long_icf_fg_base, set_long_icf_fg_base, set_long_icf_fg_04, set_long_icf_fg_06 mbin_interface gen_icf_map_lh1 mbin_dispatch_init6 gen_icf_map_lh1, gen_icf_map_h1_base, gen_icf_map_h1_base, gen_icf_map_h1_base, gen_icf_map_lh1_04, gen_icf_map_lh1_06 mbin_interface isal_adler32 mbin_dispatch_init5 isal_adler32, adler32_base, adler32_sse, adler32_sse, adler32_avx2_4 mbin_interface isal_deflate_hash_lvl0 mbin_dispatch_init5 isal_deflate_hash_lvl0, isal_deflate_hash_base, isal_deflate_hash_crc_01, isal_deflate_hash_crc_01, isal_deflate_hash_crc_01 mbin_interface isal_deflate_hash_lvl1 mbin_dispatch_init5 isal_deflate_hash_lvl1, isal_deflate_hash_base, isal_deflate_hash_crc_01, isal_deflate_hash_crc_01, isal_deflate_hash_crc_01 mbin_interface isal_deflate_hash_lvl2 mbin_dispatch_init5 isal_deflate_hash_lvl2, isal_deflate_hash_base, isal_deflate_hash_crc_01, isal_deflate_hash_crc_01, isal_deflate_hash_crc_01 mbin_interface isal_deflate_hash_lvl3 mbin_dispatch_init5 isal_deflate_hash_lvl3, isal_deflate_hash_base, isal_deflate_hash_base, isal_deflate_hash_base, isal_deflate_hash_mad_base
46.725926
204
0.862555
[ "BSD-3-Clause" ]
01org/isa-l
igzip/igzip_multibinary.asm
6,308
Assembly
4
<% from pwnlib.shellcraft.arm.linux import syscall %> <%page args="file, tvp"/> <%docstring> Invokes the syscall utimes. See 'man 2 utimes' for more information. Arguments: file(char): file tvp(timeval): tvp </%docstring> ${syscall('SYS_utimes', file, tvp)}
19.785714
69
0.67148
[ "MIT" ]
IMULMUL/python3-pwntools
pwnlib/shellcraft/templates/arm/android/utimes.asm
277
Assembly
4
; A313682: Coordination sequence Gal.5.55.4 where G.u.t.v denotes the coordination sequence for a vertex of type v in tiling number t in the Galebach list of u-uniform tilings. ; 1,5,10,15,19,23,27,31,36,41,46,51,56,61,65,69,73,77,82,87,92,97,102,107,111,115,119,123,128,133,138,143,148,153,157,161,165,169,174,179,184,189,194,199,203,207,211,215,220,225 mov $2,3 sub $2,$0 trn $2,2 mov $5,$0 mov $6,$0 add $0,3 mul $0,2 mov $1,$6 sub $1,1 add $2,$0 add $2,4 lpb $0 sub $2,4 mov $4,$0 mov $0,1 add $3,$4 sub $3,$1 mov $4,4 trn $4,$3 sub $3,1 add $4,$2 add $0,$4 sub $0,4 mov $1,$4 add $1,6 lpe mov $1,$4 lpb $5 add $1,3 sub $5,1 lpe sub $1,6
18.694444
177
0.622585
[ "Apache-2.0" ]
jmorken/loda
programs/oeis/313/A313682.asm
673
Assembly
4
; A226555: Numerators of row sums of the triangular enumeration of rational numbers A226314(n,k) / A054531(n,k), 1 <= k <= n. ; Submitted by Simon Strandgaard ; 1,5,4,13,7,25,10,33,17,45,16,69,19,65,38,81,25,109,28,125,55,105,34,177,53,125,68,181,43,241,46,193,89,165,100,301,55,185,106,321,61,349,64,293,167,225,70,433,109,341,140,349,79,433,162,465,157,285,88,661,91,305,242,449,193,565,100,461,191,633,106,769,109,365,288,517,235,673,118,785,257,405,124,957,255,425,242,753,133,1045,280,629,259,465,286,1025,145,701,392,941 mov $1,$0 seq $0,18804 ; Pillai's arithmetical function: Sum_{k=1..n} gcd(k, n). sub $1,$0 sub $0,$1 dif $0,2
63.8
367
0.706897
[ "Apache-2.0" ]
ckrause/loda-programs
oeis/226/A226555.asm
638
Assembly
4
; A119575: Binomial(2*n,n)*(n+3)^2/(n+1). ; Submitted by Jamie Morken(s2) ; 9,16,50,180,686,2688,10692,42900,173030,700128,2838524,11522056,46802700,190182400,772913160,3141129780,12764118870,51857916000,210638666700,855355383960,3472419702180,14092569803520,57176602275000 mov $2,$0 seq $0,108 ; Catalan numbers: C(n) = binomial(2n,n)/(n+1) = (2n)!/(n!(n+1)!). add $2,3 pow $2,2 mul $0,$2
39.1
199
0.723785
[ "Apache-2.0" ]
ckrause/loda-programs
oeis/119/A119575.asm
391
Assembly
4
; A170209: Number of reduced words of length n in Coxeter group on 8 generators S_i with relations (S_i)^2 = (S_i S_j)^40 = I. ; 1,8,56,392,2744,19208,134456,941192,6588344,46118408,322828856,2259801992,15818613944,110730297608,775112083256,5425784582792,37980492079544,265863444556808,1861044111897656,13027308783283592 mov $1,7 pow $1,$0 add $1,2 mul $1,8 div $1,7 sub $1,2 mov $0,$1
35.181818
193
0.77261
[ "Apache-2.0" ]
ckrause/cm
programs/oeis/170/A170209.asm
387
Assembly
4
; void *sms_set_vram(unsigned char c, unsigned int n) SECTION code_clib SECTION code_crt_common PUBLIC _sms_set_vram_callee EXTERN asm_sms_set_vram _sms_set_vram_callee: pop hl dec sp pop af pop bc push hl jp asm_sms_set_vram
13.157895
53
0.764
[ "BSD-2-Clause" ]
ByteProject/Puddle-BuildTools
FictionTools/z88dk/libsrc/_DEVELOPMENT/arch/sms/vram/c/sdcc/sms_set_vram_callee.asm
250
Assembly
4
INCLUDE "SoundSystemNotes.inc" INCLUDE "SoundSystem.def" INCLUDE "SoundSystem.inc" ; tabs=8,hard ;*************************************************************************************************************************** ;* default behaviors ;*************************************************************************************************************************** ; force support for color gameboy-specific roms to be disabled if not user-specified IF !DEF(SOUNDSYSTEM_GBC_COMPATIBLE) SOUNDSYSTEM_GBC_COMPATIBLE EQU 0 ENDC ; force support for banking if not user-specified IF !DEF(SOUNDSYSTEM_ROM_BANKING) SOUNDSYSTEM_ROM_BANKING EQU 1 ENDC ; force support for large roms to be disabled if not user-specified IF !DEF(SOUNDSYSTEM_LARGE_ROM) SOUNDSYSTEM_LARGE_ROM EQU 0 ENDC ; force the code to reside in bank 0 if not user-specified IF !DEF(SOUNDSYSTEM_CODE_BANK) SOUNDSYSTEM_CODE_BANK EQU 0 ENDC ; force the variables to reside in wram bank 0 if not user-specified IF !DEF(SOUNDSYSTEM_WRAM_BANK) SOUNDSYSTEM_WRAM_BANK EQU 0 ENDC ; force the sfx to be enabled if not user-specified if !DEF(SOUNDSYSTEM_ENABLE_SFX) SOUNDSYSTEM_ENABLE_SFX EQU 1 ENDC ; force the vu meters to be disabled if not user-specified if !DEF(SOUNDSYSTEM_ENABLE_VUM) SOUNDSYSTEM_ENABLE_VUM EQU 0 ENDC ; force certain settings if the rom is not specific to color gameboy IF (SOUNDSYSTEM_GBC_COMPATIBLE == 0) PURGE SOUNDSYSTEM_WRAM_BANK SOUNDSYSTEM_WRAM_BANK EQU 0 ENDC ; do some sanity checking IF (SOUNDSYSTEM_GBC_COMPATIBLE != 0) ASSERT(SOUNDSYSTEM_WRAM_BANK < 8) ; force boolean PURGE SOUNDSYSTEM_GBC_COMPATIBLE SOUNDSYSTEM_GBC_COMPATIBLE EQU 1 ENDC IF (SOUNDSYSTEM_LARGE_ROM != 0) ASSERT(SOUNDSYSTEM_ROM_BANKING != 0) ASSERT(SOUNDSYSTEM_CODE_BANK < 512) ; force boolean PURGE SOUNDSYSTEM_LARGE_ROM SOUNDSYSTEM_LARGE_ROM EQU 1 ENDC IF (SOUNDSYSTEM_ENABLE_SFX != 0) ; force boolean PURGE SOUNDSYSTEM_ENABLE_SFX SOUNDSYSTEM_ENABLE_SFX EQU 1 ENDC IF (SOUNDSYSTEM_ENABLE_VUM != 0) ; force boolean PURGE SOUNDSYSTEM_ENABLE_VUM SOUNDSYSTEM_ENABLE_VUM EQU 1 ENDC sizeof_BANK_VAR = 1+SOUNDSYSTEM_LARGE_ROM ; the size, in bytes, of the bank variables ; display the configuration PRINTLN "SoundSystem Configuration:" IF (SOUNDSYSTEM_GBC_COMPATIBLE == 0) PRINTLN " GBC Only: no" ELSE PRINTLN " GBC Only: YES" ENDC IF (SOUNDSYSTEM_LARGE_ROM == 0) PRINTLN " Large ROM: no" ELSE PRINTLN " Large ROM: YES" ENDC PRINTLN " Code Bank: {SOUNDSYSTEM_CODE_BANK}" PRINTLN " WRAM Bank: {SOUNDSYSTEM_WRAM_BANK}" IF (SOUNDSYSTEM_ROM_BANKING == 0) PRINTLN " ROM Banking: disabled" ELSE PRINTLN " ROM Banking: ENABLED" ENDC IF (SOUNDSYSTEM_ENABLE_SFX == 0) PRINTLN " SFX: disabled" ELSE PRINTLN " SFX: ENABLED" ENDC IF (SOUNDSYSTEM_ENABLE_VUM == 0) PRINTLN " VU Meters: disabled" ELSE PRINTLN " VU Meters: ENABLED" ENDC ;*************************************************************************************************************************** ;* hardware registers ;*************************************************************************************************************************** rROMB0 EQU $2000 ; $2000->$2FFF rROMB1 EQU $3000 ; $3000->$3FFF - If more than 256 ROM banks are present. rSVBK EQU $FF70 rAUD1SWEEP EQU $FF10 rAUD1LEN EQU $FF11 rAUD1ENV EQU $FF12 rAUD1LOW EQU $FF13 rAUD1HIGH EQU $FF14 rAUD2LEN EQU $FF16 rAUD2ENV EQU $FF17 rAUD2LOW EQU $FF18 rAUD2HIGH EQU $FF19 rAUD3ENA EQU $FF1A rAUD3LEN EQU $FF1B rAUD3LEVEL EQU $FF1C rAUD3LOW EQU $FF1D rAUD3HIGH EQU $FF1E rAUD4LEN EQU $FF20 rAUD4ENV EQU $FF21 rAUD4POLY EQU $FF22 rAUD4GO EQU $FF23 rAUDVOL EQU $FF24 rAUDTERM EQU $FF25 rAUDENA EQU $FF26 _AUD3WAVERAM EQU $FF30 ; $FF30->$FF3F ; values for rAUD1LEN, rAUD2LEN AUDLEN_DUTY_75 EQU %11000000 ; 75% AUDLEN_DUTY_50 EQU %10000000 ; 50% AUDLEN_DUTY_25 EQU %01000000 ; 25% AUDLEN_DUTY_12_5 EQU %00000000 ; 12.5% AUDLEN_LENGTHMASK EQU %00111111 ; values for rAUD1HIGH, rAUD2HIGH, rAUD3HIGH AUDHIGH_RESTART EQU %10000000 AUDHIGH_LENGTH_ON EQU %01000000 AUDHIGH_LENGTH_OFF EQU %00000000 ; values for rAUD3ENA AUD3ENA_ON EQU %10000000 ; values for rAUDVOL AUDVOL_VIN_LEFT EQU %10000000 ; SO2 AUDVOL_VIN_RIGHT EQU %00001000 ; SO1 ; values for rAUDTERM ; SO2 AUDTERM_4_LEFT EQU %10000000 AUDTERM_3_LEFT EQU %01000000 AUDTERM_2_LEFT EQU %00100000 AUDTERM_1_LEFT EQU %00010000 ; SO1 AUDTERM_4_RIGHT EQU %00001000 AUDTERM_3_RIGHT EQU %00000100 AUDTERM_2_RIGHT EQU %00000010 AUDTERM_1_RIGHT EQU %00000001 AUDTERM_ALL EQU $FF ; shorthand instead of ORing all the EQUs together ;*************************************************************************************************************************** ;* supported music commands ;*************************************************************************************************************************** RSSET 0 MUSIC_CMD_ENDOFFRAME RB 1 MUSIC_CMD_PLAYINSTNOTE RB 1 MUSIC_CMD_PLAYINST RB 1 MUSIC_CMD_SETVOLUME RB 1 MUSIC_CMD_VIBRATO_ON RB 1 MUSIC_CMD_EFFECT_OFF RB 1 MUSIC_CMD_SYNCFLAG RB 1 MUSIC_CMD_ENDOFPATTERN RB 1 MUSIC_CMD_GOTOORDER RB 1 MUSIC_CMD_ENDOFSONG RB 1 MUSIC_CMD_SETSPEED RB 1 MUSIC_CMD_ENDOFFRAME1X RB 1 MUSIC_CMD_ENDOFFRAME2X RB 1 MUSIC_CMD_ENDOFFRAME3X RB 1 MUSIC_CMD_ENDOFFRAME4X RB 1 MUSIC_CMD_PITCHUP_ON RB 1 MUSIC_CMD_PITCHDOWN_ON RB 1 MUSIC_CMD_TRIPLENOTE_ON RB 1 MUSIC_CMD_EXTRA RB 1 ;*************************************************************************************************************************** ;* supported music effects ;*************************************************************************************************************************** RSRESET MUSIC_FX_NONE RB 1 MUSIC_FX_VIB1 RB 1 MUSIC_FX_VIB2 RB 1 MUSIC_FX_TRIPLEFREQ1 RB 1 MUSIC_FX_TRIPLEFREQ2 RB 1 MUSIC_FX_TRIPLEFREQ3 RB 1 MUSIC_FX_PITCHUP RB 1 MUSIC_FX_PITCHDOWN RB 1 ;*************************************************************************************************************************** ;* supported instrument commands ;*************************************************************************************************************************** RSRESET ; common commands MUSIC_INSTCMD_X_FRAMEEND RB 1 MUSIC_INSTCMD_X_START RB 1 MUSIC_INSTCMD_X_END RB 1 MUSIC_INSTCMD_X_ENVELOPE RB 1 MUSIC_INSTCMD_X_STARTFREQ RB 1 MUSIC_INSTCMD_X_ENVELOPEVOL RB 1 MUSIC_INSTCMD_X_STARTENVVOLFREQ RB 1 MUSIC_INSTCMD_X_PANMID RB 1 MUSIC_INSTCMD_X_PANRIGHT RB 1 MUSIC_INSTCMD_X_PANLEFT RB 1 ; count of common instrument commands MUSIC_INSTCMD_COMMONCOUNT RB 0 ; specific commands ; channels 1 and 2 RSSET MUSIC_INSTCMD_COMMONCOUNT MUSIC_INSTCMD_12_PULSELEN RB 1 MUSIC_INSTCMD_1_SWEEP RB 1 ; channel 3 RSSET MUSIC_INSTCMD_COMMONCOUNT MUSIC_INSTCMD_3_WAVE RB 1 MUSIC_INSTCMD_3_LEN RB 1 ; channel 4 RSSET MUSIC_INSTCMD_COMMONCOUNT MUSIC_INSTCMD_4_POLYLOAD RB 1 MUSIC_INSTCMD_4_LEN RB 1 ;*************************************************************************************************************************** ;* wSoundFXLock bit definitions ;*************************************************************************************************************************** SFXLOCKF_4_LEFT EQU AUDTERM_4_LEFT SFXLOCKF_3_LEFT EQU AUDTERM_3_LEFT SFXLOCKF_2_LEFT EQU AUDTERM_2_LEFT SFXLOCKF_1_LEFT EQU AUDTERM_1_LEFT SFXLOCKF_4_RIGHT EQU AUDTERM_4_RIGHT SFXLOCKF_3_RIGHT EQU AUDTERM_3_RIGHT SFXLOCKF_2_RIGHT EQU AUDTERM_2_RIGHT SFXLOCKF_1_RIGHT EQU AUDTERM_1_RIGHT SFXLOCKB_CHANNEL4 EQU 3 SFXLOCKB_CHANNEL3 EQU 2 SFXLOCKB_CHANNEL2 EQU 1 SFXLOCKB_CHANNEL1 EQU 0 ;*************************************************************************************************************************** ;* work ram ;*************************************************************************************************************************** IF (SOUNDSYSTEM_WRAM_BANK == 0) SECTION "SoundSystem Variables",WRAM0,ALIGN[7] ELSE SECTION "SoundSystem Variables",WRAMX,BANK[SOUNDSYSTEM_WRAM_BANK],ALIGN[7] ENDC wMusicSyncData:: DS 1 ; arbitrary value set by the song to sync visual effects with bg music ; soundfx variables wSoundFXLock: DS 1 ; bitfield (see above), 1 = Music, 0 = SFX Locked wSoundFXTable: DS 2 ; table of soundfx pointers IF (SOUNDSYSTEM_ROM_BANKING != 0) wSoundFXBank: DS sizeof_BANK_VAR ; bank of soundfxs ENDC wSoundFXStart: DS 4 ; sound fx to start wSoundFXNote: DS 1 ; sound fx's start note ; music/sfx shared variables wMusicSFXPanning: DS 1 wMusicSFXInstPause1: DS 1 ; frames left before instrument/soundfx update for channel 1 wMusicSFXInstPause2: DS 1 ; frames left before instrument/soundfx update for channel 2 wMusicSFXInstPause3: DS 1 ; frames left before instrument/soundfx update for channel 3 wMusicSFXInstPause4: DS 1 ; frames left before instrument/soundfx update for channel 4 wMusicSFXInstPtr1: DS 2 ; pointer to playing instrument/soundfx for channel 1 wMusicSFXInstPtr2: DS 2 ; pointer to playing instrument/soundfx for channel 2 wMusicSFXInstPtr3: DS 2 ; pointer to playing instrument/soundfx for channel 3 wMusicSFXInstPtr4: DS 2 ; pointer to playing instrument/soundfx for channel 4 IF (SOUNDSYSTEM_ROM_BANKING != 0) wMusicSFXInstBank1: DS sizeof_BANK_VAR ; bank of active instrument for channel 1 wMusicSFXInstBank2: DS sizeof_BANK_VAR ; bank of active instrument for channel 2 wMusicSFXInstBank3: DS sizeof_BANK_VAR ; bank of active instrument for channel 3 wMusicSFXInstBank4: DS sizeof_BANK_VAR ; bank of active instrument for channel 4 ENDC wMusicSFXInstChnl3WaveID: DS 1 ; current waveid loaded, IDs of 255 in instruments will load, whatever the value here wMusicSFXInstChnl3Lock: DS 1 ; 0 = no lock, 1 = external lock ; music variables wMusicPlayState:: DS 1 ; current music playback state, 0 = stopped, 1 = playing wMusicNextFrame: DS 1 ; number of frames until the next music commands wMusicCommandPtr: DS 2 ; position of playing music IF (SOUNDSYSTEM_ROM_BANKING != 0) wMusicCommandBank: DS sizeof_BANK_VAR ; bank of playing music ENDC wMusicOrderPtr: DS 2 ; position of pattern order list (list of pointers to start of patterns) IF (SOUNDSYSTEM_ROM_BANKING != 0) wMusicOrderBank: DS sizeof_BANK_VAR ; bank of order list ENDC wMusicInstrumentTable: DS 2 ; table of instrument pointers IF (SOUNDSYSTEM_ROM_BANKING != 0) wMusicInstrumentBank: DS sizeof_BANK_VAR ; bank of instruments ENDC ; miscellaneous variables wChannelMusicFreq1: DS 2 ; GB frequency of channel 1 for music backup wChannelMusicFreq2: DS 2 ; GB frequency of channel 2 for music backup wChannelMusicFreq3: DS 2 ; GB frequency of channel 3 for music backup wChannelMusicFreq4: DS 2 ; GB frequency of channel 4 for music backup wChannelMusicNote1: DS 1 ; note of channel 1 for music backup wChannelMusicNote2: DS 1 ; note of channel 2 for music backup wChannelMusicNote3: DS 1 ; note of channel 3 for music backup wChannelMusicNote4: DS 1 ; note of channel 4 for music backup wChannelFreq1: DS 2 ; GB frequency of channel 1 wChannelFreq2: DS 2 ; GB frequency of channel 2 wChannelFreq3: DS 2 ; GB frequency of channel 3 wChannelFreq4: DS 2 ; GB frequency of channel 4 wChannelVol1: DS 1 ; volumes of channel 1, byte[4:VOL,4:xxxx] wChannelVol2: DS 1 ; volumes of channel 2, byte[4:VOL,4:xxxx] wChannelVol3: DS 1 ; volumes of channel 3, byte[4:VOL,4:xxxx] wChannelVol4: DS 1 ; volumes of channel 4, byte[4:VOL,4:xxxx] wMusicSpeed: DS 1 ; speed ; effect variables wChannelMusicEffect1: DS 1 ; active effect for channel 1, 0 = none wChannelMusicEffect2: DS 1 ; active effect for channel 2, 0 = none wChannelMusicEffect3: DS 1 ; active effect for channel 3, 0 = none wChannelMusicEffect4: DS 1 ; active effect for channel 4, 0 = none wChannelMusicFXParamA1: DS 2 ; effect parameters for channel 1 wChannelMusicFXParamA2: DS 2 ; effect parameters for channel 2 wChannelMusicFXParamA3: DS 2 ; effect parameters for channel 3 wChannelMusicFXParamA4: DS 2 ; effect parameters for channel 4 wChannelMusicFXParamB1: DS 2 ; effect parameters for channel 1 wChannelMusicFXParamB2: DS 2 ; effect parameters for channel 2 wChannelMusicFXParamB3: DS 2 ; effect parameters for channel 3 wChannelMusicFXParamB4: DS 2 ; effect parameters for channel 4 wTemp: DS 2 ; temporary storage for player calcs IF (SOUNDSYSTEM_ENABLE_VUM) wVUMeter1:: DS 1 ; vu meter data for channel 1 wVUMeter2:: DS 1 ; vu meter data for channel 2 wVUMeter3:: DS 1 ; vu meter data for channel 3 wVUMeter4:: DS 1 ; vu meter data for channel 4 ENDC ;*************************************************************************************************************************** ;* Identification ;*************************************************************************************************************************** IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_Identity",ROM0 ELSE SECTION "SoundSystem_Identity",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SoundSystem_Version:: DB "SoundSystem v20.249",$00 SoundSystem_Author:: DB "Code: S. Hockenhull",$00 ;*************************************************************************************************************************** ;* SoundSystem_Init ;*************************************************************************************************************************** IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_Init",ROM0 ELSE SECTION "SoundSystem_Init",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SoundSystem_Init:: IF (SOUNDSYSTEM_WRAM_BANK != 0) ld a,SOUNDSYSTEM_WRAM_BANK ldh [rSVBK],a ENDC ; set all channel samples to 'stop' ld hl,wMusicSFXInstPtr1 ld e,4 .instptrloop: ld a,LOW(Music_InstrumentEnd) ld [hl+],a ld a,HIGH(Music_InstrumentEnd) ld [hl+],a dec e jr nz,.instptrloop IF (SOUNDSYSTEM_ROM_BANKING != 0) ; set all channel banks to be the bank with the stop instrument ld hl,wMusicSFXInstBank1 ld e,4 IF (SOUNDSYSTEM_LARGE_ROM) .instbankloop: ld a,LOW(BANK(Music_InstrumentEnd)) ld [hl+],a ld a,HIGH(BANK(Music_InstrumentEnd)) ld [hl+],a dec e jr nz,.instbankloop ELSE ld a,BANK(Music_InstrumentEnd) .instbankloop: ld [hl+],a dec e jr nz,.instbankloop ENDC ENDC ; set all channel volumes to 8 ld a,$80 ld hl,wChannelVol1 REPT 4 ld [hl+],a ENDR ; set all channel sfxs to unused (etc.) ld a,$FF ld hl,wSoundFXStart REPT 4 ld [hl+],a ENDR ld [wSoundFXLock],a ld [wMusicSFXPanning],a ld [wMusicSFXInstChnl3WaveID],a ; clear all channel music effects xor a ld hl,wChannelMusicEffect1 REPT 4 ld [hl+],a ENDR ld [wMusicSFXInstChnl3Lock],a ; clear all sfx pause timers ld hl,wMusicSFXInstPause1 REPT 4 ld [hl+],a ENDR ; clear all channel music frequencies ld hl,wChannelMusicFreq1 REPT 8 ld [hl+],a ENDR IF (SOUNDSYSTEM_ENABLE_VUM) ; clear all vu meter values ld hl,wVUMeter1 REPT 4 ld [hl+],a ENDR ENDC ; enable sound ld a,AUD3ENA_ON ldh [rAUDENA],a ; channel 1 xor a ldh [rAUD1SWEEP],a ; all channels off call Music_Pause ; general ld a,(AUDVOL_VIN_LEFT|AUDVOL_VIN_RIGHT) ^ $FF ; same as ~(), but ~ here triggers a false warning ldh [rAUDVOL],a ld a,AUDTERM_ALL ldh [rAUDTERM],a ret ; dummy instrument to init/clear instrument pointers Music_InstrumentEnd: DB MUSIC_INSTCMD_X_END ;*************************************************************************************************************************** ;* SoundSystem_Process ;*************************************************************************************************************************** IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_Process",ROM0 ELSE SECTION "SoundSystem_Process",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SoundSystem_Process:: IF (SOUNDSYSTEM_WRAM_BANK != 0) ld a,SOUNDSYSTEM_WRAM_BANK ldh [rSVBK],a ENDC IF (SOUNDSYSTEM_ENABLE_SFX) ; sfx start process ld hl,wSoundFXStart ld c,4 .multisfx: ld a,[hl] push hl push bc xor $FF jp z,.nonewsfx ld b,a ; save IF (SOUNDSYSTEM_ROM_BANKING != 0) ; change the rom bank ld a,[wSoundFXBank] ld [rROMB0],a IF (SOUNDSYSTEM_LARGE_ROM != 0) ld a,[wSoundFXBank+1] ld [rROMB1],a ENDC ENDC ; lock & update SFX ld a,b ; restore cpl ; calculate table plus index address ld b,a ;save ld a,[wSoundFXTable] ld e,a ld a,[wSoundFXTable+1] ld d,a ld a,b ;restore ld b,0 add a rl b add a rl b add a ; 4 words rl b add e ld l,a ld a,0 ; can't xor a here becuase of the adc adc d add b ld h,a push hl ld a,[wSoundFXNote] add a ld l,a ld h,HIGH(FrequencyTable) ASSERT LOW(FrequencyTable) == 0 ld a,[hl+] ld [wTemp],a ld a,[hl] ld [wTemp+1],a ; store note freq pop hl ; update wSoundFXLock ld a,[wSoundFXLock] ld d,a ; load channel 1 ld a,[hl+] ld c,a ld a,[hl+] ld b,a or c jr z,.nosfxchnl1 ld a,c ld [wMusicSFXInstPtr1],a ld a,b ld [wMusicSFXInstPtr1+1],a IF (SOUNDSYSTEM_ROM_BANKING != 0) ; update the rom bank ld a,[wSoundFXBank] ld [wMusicSFXInstBank1],a IF (SOUNDSYSTEM_LARGE_ROM != 0) ld a,[wSoundFXBank+1] ld [wMusicSFXInstBank1+1],a ENDC ENDC ld a,[wTemp] ld [wChannelFreq1],a ld a,[wTemp+1] ld [wChannelFreq1+1],a ld a,d and ~(SFXLOCKF_1_LEFT|SFXLOCKF_1_RIGHT) ld d,a ld a,1 ; set counter to immediate start ld [wMusicSFXInstPause1],a .nosfxchnl1: ; load channel 2 ld a,[hl+] ld c,a ld a,[hl+] ld b,a or c jr z,.nosfxchnl2 ld a,c ld [wMusicSFXInstPtr2],a ld a,b ld [wMusicSFXInstPtr2+1],a IF (SOUNDSYSTEM_ROM_BANKING != 0) ; update the rom bank ld a,[wSoundFXBank] ld [wMusicSFXInstBank2],a IF (SOUNDSYSTEM_LARGE_ROM != 0) ld a,[wSoundFXBank+1] ld [wMusicSFXInstBank2+1],a ENDC ENDC ld a,[wTemp] ld [wChannelFreq2],a ld a,[wTemp+1] ld [wChannelFreq2+1],a ld a,d and ~(SFXLOCKF_2_LEFT|SFXLOCKF_2_RIGHT) ld d,a ld a,1 ; set counter to immediate start ld [wMusicSFXInstPause2],a .nosfxchnl2: ; load channel 3 ld a,[hl+] ld c,a ld a,[hl+] ld b,a or c jr z,.nosfxchnl3 ld a,[wMusicSFXInstChnl3Lock] or a jr nz,.nosfxchnl3 ld a,c ld [wMusicSFXInstPtr3],a ld a,b ld [wMusicSFXInstPtr3+1],a IF (SOUNDSYSTEM_ROM_BANKING != 0) ; update the rom bank ld a,[wSoundFXBank] ld [wMusicSFXInstBank3],a IF (SOUNDSYSTEM_LARGE_ROM != 0) ld a,[wSoundFXBank+1] ld [wMusicSFXInstBank3+1],a ENDC ENDC ld a,[wTemp] ld [wChannelFreq3],a ld a,[wTemp+1] ld [wChannelFreq3+1],a ld a,d and ~(SFXLOCKF_3_LEFT|SFXLOCKF_3_RIGHT) ld d,a ld a,1 ; set counter to immediate start ld [wMusicSFXInstPause3],a .nosfxchnl3: ; load channel 4 ld a,[hl+] ld c,a ld a,[hl+] ld b,a or c jr z,.nosfxchnl4 ld a,c ld [wMusicSFXInstPtr4],a ld a,b ld [wMusicSFXInstPtr4+1],a IF (SOUNDSYSTEM_ROM_BANKING != 0) ; update the rom bank ld a,[wSoundFXBank] ld [wMusicSFXInstBank4],a IF (SOUNDSYSTEM_LARGE_ROM != 0) ld a,[wSoundFXBank+1] ld [wMusicSFXInstBank4+1],a ENDC ENDC ld a,d and (SFXLOCKF_4_LEFT|SFXLOCKF_4_RIGHT) ^ $FF ; same as ~(), but ~ here triggers a false warning ld d,a ld a,1 ; set counter to immediate start ld [wMusicSFXInstPause4],a .nosfxchnl4: pop bc pop hl ; update wSoundFXLock ld a,d ld [wSoundFXLock],a ; de-flag sfx start ld a,$FF ld [hl+],a dec c jp nz,.multisfx jr .newsfxdone .nonewsfx: add sp,4 .newsfxdone: ENDC ;------------------------------- ; instruments and SFX process ;------------------------------- ; channel 1 ld hl,wMusicSFXInstPause1 dec [hl] jr nz,SSFP_Inst1UpdateDone IF (SOUNDSYSTEM_ROM_BANKING != 0) ; change the rom bank ld a,[wMusicSFXInstBank1] ld [rROMB0],a IF (SOUNDSYSTEM_LARGE_ROM != 0) ld a,[wMusicSFXInstBank1+1] ld [rROMB1],a ENDC ENDC ld hl,wMusicSFXInstPtr1 ld a,[hl+] ld d,[hl] ld e,a jp SSFP_Inst1Update SSFP_Inst1UpdateFrameEnd: ; save back ld hl,wMusicSFXInstPtr1 ld a,e ld [hl+],a ld [hl],d SSFP_Inst1UpdateDone: ;------------------------------- ; channel 2 ld hl,wMusicSFXInstPause2 dec [hl] jr nz,SSFP_Inst2UpdateDone IF (SOUNDSYSTEM_ROM_BANKING != 0) ; change the rom bank ld a,[wMusicSFXInstBank2] ld [rROMB0],a IF (SOUNDSYSTEM_LARGE_ROM != 0) ld a,[wMusicSFXInstBank2+1] ld [rROMB1],a ENDC ENDC ld hl,wMusicSFXInstPtr2 ld a,[hl+] ld d,[hl] ld e,a jp SSFP_Inst2Update SSFP_Inst2UpdateFrameEnd: ; save back ld hl,wMusicSFXInstPtr2 ld a,e ld [hl+],a ld [hl],d SSFP_Inst2UpdateDone: ;------------------------------- ; channel 3 ld hl,wMusicSFXInstPause3 dec [hl] jr nz,SSFP_Inst3UpdateDone IF (SOUNDSYSTEM_ROM_BANKING != 0) ; change the rom bank ld a,[wMusicSFXInstBank3] ld [rROMB0],a IF (SOUNDSYSTEM_LARGE_ROM != 0) ld a,[wMusicSFXInstBank3+1] ld [rROMB1],a ENDC ENDC ld hl,wMusicSFXInstPtr3 ld a,[hl+] ld d,[hl] ld e,a jp SSFP_Inst3Update SSFP_Inst3UpdateFrameEnd: ; save back ld hl,wMusicSFXInstPtr3 ld a,e ld [hl+],a ld [hl],d SSFP_Inst3UpdateDone: ;------------------------------- ; channel 4 ld hl,wMusicSFXInstPause4 dec [hl] jr nz,SSFP_Inst4UpdateDone IF (SOUNDSYSTEM_ROM_BANKING != 0) ; change the rom bank ld a,[wMusicSFXInstBank4] ld [rROMB0],a IF (SOUNDSYSTEM_LARGE_ROM != 0) ld a,[wMusicSFXInstBank4+1] ld [rROMB1],a ENDC ENDC ld hl,wMusicSFXInstPtr4 ld a,[hl+] ld d,[hl] ld e,a jp SSFP_Inst4Update SSFP_Inst4UpdateFrameEnd: ; save back ld hl,wMusicSFXInstPtr4 ld a,e ld [hl+],a ld [hl],d SSFP_Inst4UpdateDone: ;------------------------------- ; process music ld a,[wMusicPlayState] or a ; is music playing? ret z ; no, exit early (nothing to do) ;------------------------------- ; update music effects ;------------------------------- ; channel 1 ld a,[wChannelMusicEffect1] or a ; is channel 1 playing music fx? jr z,SSFP_MusicFX_Done1 ; no, skip to the next channel ; check if sound effect active (no music fx then) ld b,a ld a,[wSoundFXLock] bit SFXLOCKB_CHANNEL1,a ; is channel 1 playing fx? jr z,SSFP_MusicFX_Done1 ; no, skip to the next channel ; call the fx handler ld a,b ld hl,SSFP_MusicFX_JumpTable1 add a add l ld l,a ld a,[hl+] ld h,[hl] ld l,a jp hl SSFP_MusicFX_Done1: ; some handlers return here ;------------------------------- ; channel 2 ld a,[wChannelMusicEffect2] or a ; is channel 2 playing music fx? jr z,SSFP_MusicFX_Done2 ; no, skip to the next channel ; check if sound effect active (no music fx then) ld b,a ld a,[wSoundFXLock] bit SFXLOCKB_CHANNEL2,a ; is channel 2 playing fx? jr z,SSFP_MusicFX_Done2 ; no, skip to the next channel ; call the fx handler ld a,b ld hl,SSFP_MusicFX_JumpTable2 add a add l ld l,a ld a,[hl+] ld h,[hl] ld l,a jp hl SSFP_MusicFX_Done2: ; some handlers return here ;------------------------------- ; channel 3 ld a,[wChannelMusicEffect3] or a ; is channel 3 playing music fx? jr z,SSFP_MusicFX_Done3 ; no, skip to the next channel ; check if sound effect active (no music fx then) ld b,a ld a,[wSoundFXLock] bit SFXLOCKB_CHANNEL3,a ; is channel 3 playing fx? jr z,SSFP_MusicFX_Done3 ; no, skip to the next channel ; call the fx handler ld a,b ld hl,SSFP_MusicFX_JumpTable3 add a add l ld l,a ld a,[hl+] ld h,[hl] ld l,a jp hl SSFP_MusicFX_Done3: ; some handlers return here ;------------------------------- ; update music ; determine if music should update this frame ld a,[wMusicNextFrame] dec a ld [wMusicNextFrame],a ret nz ; no update needed IF (SOUNDSYSTEM_ROM_BANKING != 0) ; change the rom bank ld a,[wMusicCommandBank] ld [rROMB0],a IF (SOUNDSYSTEM_LARGE_ROM != 0) ld a,[wMusicCommandBank+1] ld [rROMB1],a ENDC ENDC ; put the music command handler in de ld hl,wMusicCommandPtr ld a,[hl+] ld e,a ld d,[hl] ;------------------------------- SSFP_MusicUpdate: ; some handlers return here ld a,[de] inc de ld hl,SSFP_Music_JumpTable add a add l ld l,a ld a,[hl+] ld h,[hl] ld l,a jp hl ;------------------------------- SSFP_MusicUpdateFrameEnd: ; some handlers return here ; update the ptr for next time ld hl,wMusicCommandPtr ld a,e ld [hl+],a ld [hl],d ret ;*************************************************************************************************************************** ;* Music_PrepareInst ;*************************************************************************************************************************** IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_Music_PrepareInst",ROM0 ELSE SECTION "SoundSystem_Music_PrepareInst",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC Music_PrepareInst:: IF (SOUNDSYSTEM_WRAM_BANK != 0) ld a,SOUNDSYSTEM_WRAM_BANK ldh [rSVBK],a ENDC ld hl,wMusicInstrumentTable ld a,e ld [hl+],a ld a,d ld [hl+],a ; hl = wMusicInstrumentBank IF (SOUNDSYSTEM_ROM_BANKING != 0) ASSERT wMusicInstrumentBank == wMusicInstrumentTable+2 ld a,c ld [hl+],a IF (SOUNDSYSTEM_LARGE_ROM != 0) ld a,b ld [hl],a ENDC ENDC ret ;*************************************************************************************************************************** ;* Music_Play ;*************************************************************************************************************************** IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_Music_Play",ROM0 ELSE SECTION "SoundSystem_Music_Play",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC Music_Play:: IF (SOUNDSYSTEM_ROM_BANKING != 0) push bc ENDC call Music_Pause IF (SOUNDSYSTEM_ROM_BANKING != 0) pop bc ENDC IF (SOUNDSYSTEM_WRAM_BANK != 0) ld a,SOUNDSYSTEM_WRAM_BANK ldh [rSVBK],a ENDC IF (SOUNDSYSTEM_ROM_BANKING != 0) ; change to the rom bank containting the order list ld a,c ld [wMusicOrderBank],a ld [rROMB0],a IF (SOUNDSYSTEM_LARGE_ROM != 0) ld a,b ld [wMusicOrderBank+1],a ld [rROMB1],a ENDC ENDC ; set to advance on next frame ld a,1 ld [wMusicNextFrame],a ; clear misc variables xor a ld [wMusicSyncData],a ; clear effects ld hl,wChannelMusicEffect1 ld [hl+],a ld [hl+],a ld [hl+],a ld [hl],a ; set command pointer to value of first order ld h,d ld l,e ld a,[hl+] ld [wMusicCommandPtr],a ld a,[hl+] ld [wMusicCommandPtr+1],a IF (SOUNDSYSTEM_ROM_BANKING != 0) ld a,[hl+] ld [wMusicCommandBank],a IF (SOUNDSYSTEM_LARGE_ROM != 0) ld a,[hl] ld [wMusicCommandBank+1],a ENDC ENDC ; set order pointer to next order ld a,e add 4 ld [wMusicOrderPtr],a ld a,d adc 0 ld [wMusicOrderPtr+1],a ; turn on the music ld a,MUSIC_STATE_PLAYING ld [wMusicPlayState],a ret ;*************************************************************************************************************************** ;* Music_Pause ;*************************************************************************************************************************** IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_Music_Pause",ROM0 ELSE SECTION "SoundSystem_Music_Pause",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC Music_Pause:: IF (SOUNDSYSTEM_WRAM_BANK != 0) ld a,SOUNDSYSTEM_WRAM_BANK ldh [rSVBK],a ENDC ; stop playing xor a ld [wMusicPlayState],a ; turn off channels used by music ld a,[wSoundFXLock] ld b,a ld c,AUDHIGH_RESTART ;------------------------------- ; channel 1 bit SFXLOCKB_CHANNEL1,b ; is channel 1 playing music? jr z,.nomusic1 ; no, skip to the next channel ; clear the channel 1 registers xor a ldh [rAUD1ENV],a ld a,c ldh [rAUD1HIGH],a ; set the stop command ld hl,wMusicSFXInstPtr1 ld [hl],LOW(Music_InstrumentEnd) inc l ld [hl],HIGH(Music_InstrumentEnd) .nomusic1: ;------------------------------- ; channel 2 bit SFXLOCKB_CHANNEL2,b ; is channel 2 playing music? jr z,.nomusic2 ; no, skip to the next channel ; clear the channel 2 registers xor a ldh [rAUD2ENV],a ld a,c ldh [rAUD2HIGH],a ; set the stop command ld hl,wMusicSFXInstPtr2 ld [hl],LOW(Music_InstrumentEnd) inc l ld [hl],HIGH(Music_InstrumentEnd) .nomusic2: ;------------------------------- ; channel 3 bit SFXLOCKB_CHANNEL3,b ; is channel 3 playing music? jr z,.nomusic3 ; no, skip to the next channel ; clear the channel 3 registers xor a ldh [rAUD3ENA],a ; set the stop command ld hl,wMusicSFXInstPtr3 ld [hl],LOW(Music_InstrumentEnd) inc l ld [hl],HIGH(Music_InstrumentEnd) .nomusic3: ;------------------------------- ; channel 4 bit SFXLOCKB_CHANNEL4,b ; is channel 4 playing music? ret z ; no, exit ; clear the channel 4 registers xor a ldh [rAUD4ENV],a ld a,c ldh [rAUD4GO],a ; set the stop command ld hl,wMusicSFXInstPtr4 ld [hl],LOW(Music_InstrumentEnd) inc l ld [hl],HIGH(Music_InstrumentEnd) ret ;*************************************************************************************************************************** ;* Music_Resume ;*************************************************************************************************************************** IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_Music_Resume",ROM0 ELSE SECTION "SoundSystem_Music_Resume",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC Music_Resume:: IF (SOUNDSYSTEM_WRAM_BANK != 0) ld a,SOUNDSYSTEM_WRAM_BANK ldh [rSVBK],a ENDC ld a,MUSIC_STATE_PLAYING ld [wMusicPlayState],a ret ;*************************************************************************************************************************** ;* SFX_Prepare ;*************************************************************************************************************************** IF (SOUNDSYSTEM_ENABLE_SFX) IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SFX_Prepare",ROM0 ELSE SECTION "SoundSystem_SFX_Prepare",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SFX_Prepare:: IF (SOUNDSYSTEM_WRAM_BANK != 0) ld a,SOUNDSYSTEM_WRAM_BANK ldh [rSVBK],a ENDC ld hl,wSoundFXTable ld a,e ld [hl+],a ld a,d ld [hl+],a ; hl = wSoundFXBank here IF (SOUNDSYSTEM_ROM_BANKING != 0) ASSERT wSoundFXBank == wSoundFXTable+2 ld a,c ld [hl+],a IF (SOUNDSYSTEM_LARGE_ROM != 0) ld a,b ld [hl],a ENDC ENDC ret ENDC ;*************************************************************************************************************************** ;* SFX_Play ;*************************************************************************************************************************** IF (SOUNDSYSTEM_ENABLE_SFX) IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SFX_Play",ROM0 ELSE SECTION "SoundSystem_SFX_Play",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SFX_Play:: IF (SOUNDSYSTEM_WRAM_BANK != 0) ld a,SOUNDSYSTEM_WRAM_BANK ldh [rSVBK],a ENDC ; find an open channel, else put it on channel 4 ld hl,wSoundFXStart ld d,4 .loop: ld a,[hl] xor $FF ; is this channel open? jr z,.found ; yes, store the sfx data inc hl dec d jr nz,.loop .found: ld a,b ld [hl],a ld a,c ld [wSoundFXNote],a ret ENDC ;*************************************************************************************************************************** ;* SFX_Stop ;*************************************************************************************************************************** IF (SOUNDSYSTEM_ENABLE_SFX) IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SFX_Stop",ROM0 ELSE SECTION "SoundSystem_SFX_Stop",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SFX_Stop:: IF (SOUNDSYSTEM_WRAM_BANK != 0) ld a,SOUNDSYSTEM_WRAM_BANK ldh [rSVBK],a ENDC ; turn off channels used by sfx ld a,[wSoundFXLock] ld b,a ld c,AUDHIGH_RESTART ; channel 1 bit SFXLOCKB_CHANNEL1,b ; is channel 1 playing sfx? jr nz,.nosfx1 ; no, skip to the next channel xor a ld [rAUD1ENV],a ld a,c ld [rAUD1HIGH],a ld hl,wMusicSFXInstPtr1 ld [hl],LOW(Music_InstrumentEnd) inc l ld [hl],HIGH(Music_InstrumentEnd) .nosfx1: ; channel 2 bit SFXLOCKB_CHANNEL2,b ; is channel 2 playing sfx? jr nz,.nosfx2 ; no, skip to the next channel xor a ld [rAUD2ENV],a ld a,c ld [rAUD2HIGH],a ld hl,wMusicSFXInstPtr2 ld [hl],LOW(Music_InstrumentEnd) inc l ld [hl],HIGH(Music_InstrumentEnd) .nosfx2: ; channel 3 bit SFXLOCKB_CHANNEL3,b ; is channel 3 playing sfx? jr nz,.nosfx3 ; no, skip to the next channel ld a,[wMusicSFXInstChnl3Lock] or a jr nz,.nosfx3 ld [rAUD3ENA],a ; a = 0 here ld hl,wMusicSFXInstPtr3 ld [hl],LOW(Music_InstrumentEnd) inc l ld [hl],HIGH(Music_InstrumentEnd) .nosfx3: ; channel 4 bit SFXLOCKB_CHANNEL4,b ; is channel 4 playing sfx? ret nz ; no, exit xor a ld [rAUD4ENV],a ld a,c ld [rAUD4GO],a ld hl,wMusicSFXInstPtr4 ld [hl],LOW(Music_InstrumentEnd) inc l ld [hl],HIGH(Music_InstrumentEnd) ret ENDC ;*************************************************************************************************************************** ;* SFX_LockChannel3 ;*************************************************************************************************************************** IF (SOUNDSYSTEM_ENABLE_SFX) IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SFX_LockChannel3",ROM0 ELSE SECTION "SoundSystem_SFX_LockChannel3",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SFX_LockChannel3:: IF (SOUNDSYSTEM_WRAM_BANK != 0) ld a,SOUNDSYSTEM_WRAM_BANK ldh [rSVBK],a ENDC ld a,1 ld [wMusicSFXInstChnl3Lock],a ld a,[wSoundFXLock] and ~(SFXLOCKF_3_LEFT|SFXLOCKF_3_RIGHT) ld [wSoundFXLock],a ld hl,wMusicSFXInstPtr1 ld a,LOW(Music_InstrumentEnd) ld [hl+],a ld a,HIGH(Music_InstrumentEnd) ld [hl],a ret ENDC ;*************************************************************************************************************************** ;* SFX_UnlockChannel3 ;*************************************************************************************************************************** IF (SOUNDSYSTEM_ENABLE_SFX) IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SFX_UnlockChannel3",ROM0 ELSE SECTION "SoundSystem_SFX_UnlockChannel3",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SFX_UnlockChannel3:: IF (SOUNDSYSTEM_WRAM_BANK != 0) ld a,SOUNDSYSTEM_WRAM_BANK ldh [rSVBK],a ENDC xor a ld [wMusicSFXInstChnl3Lock],a ld a,[wSoundFXLock] or SFXLOCKF_3_LEFT|SFXLOCKF_3_RIGHT ld [wSoundFXLock],a ret ENDC ;*************************************************************************************************************************** ;* music fx handlers ;*************************************************************************************************************************** ; channel 1 IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_MUSIC_FX1_VIB1",ROM0 ELSE SECTION "SoundSystem_SSFP_MUSIC_FX1_VIB1",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_MUSIC_FX1_VIB1: ld hl,wChannelFreq1 ld a,[hl] add 1 ; can't use inc a here because of the adc ld [hl+],a ldh [rAUD1LOW],a ld a,[hl] adc 0 and $07 ld [hl],a ldh [rAUD1HIGH],a ld hl,wChannelMusicFXParamA1+1 dec [hl] dec hl jp nz,SSFP_MusicFX_Done1 ld a,[hl+] ld [hl],a ; store the fx id ld a,MUSIC_FX_VIB2 ld [wChannelMusicEffect1],a jp SSFP_MusicFX_Done1 ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_MUSIC_FX1_VIB2",ROM0 ELSE SECTION "SoundSystem_SSFP_MUSIC_FX1_VIB2",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_MUSIC_FX1_VIB2: ld hl,wChannelFreq1 ld a,[hl] add $FF ; can't use dec a here because of the adc ld [hl+],a ldh [rAUD1LOW],a ld a,[hl] adc $FF and $07 ld [hl],a ldh [rAUD1HIGH],a ld hl,wChannelMusicFXParamA1+1 dec [hl] dec hl jp nz,SSFP_MusicFX_Done1 ld a,[hl+] ld [hl],a ; store the fx id ld a,MUSIC_FX_VIB1 ld [wChannelMusicEffect1],a jp SSFP_MusicFX_Done1 ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_MUSIC_FX1_TF1",ROM0 ELSE SECTION "SoundSystem_SSFP_MUSIC_FX1_TF1",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_MUSIC_FX1_TF1: ld hl,FrequencyTable ASSERT LOW(FrequencyTable) == 0 ld a,[wChannelMusicNote1] ld c,a ld a,[wChannelMusicFXParamA1] add c cp NUM_NOTES jr c,.noteok ld a,NUM_NOTES-1 .noteok: add a ld l,a ld a,[hl+] ldh [rAUD1LOW],a ld a,[hl] ldh [rAUD1HIGH],a ; store the fx id ld a,MUSIC_FX_TRIPLEFREQ2 ld [wChannelMusicEffect1],a jp SSFP_MusicFX_Done1 ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_MUSIC_FX1_TF2",ROM0 ELSE SECTION "SoundSystem_SSFP_MUSIC_FX1_TF2",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_MUSIC_FX1_TF2: ld hl,FrequencyTable ASSERT LOW(FrequencyTable) == 0 ld a,[wChannelMusicNote1] ld c,a ld a,[wChannelMusicFXParamA1+1] add c cp NUM_NOTES jr c,.noteok ld a,NUM_NOTES-1 .noteok: add a ld l,a ld a,[hl+] ldh [rAUD1LOW],a ld a,[hl] ldh [rAUD1HIGH],a ; store the fx id ld a,MUSIC_FX_TRIPLEFREQ3 ld [wChannelMusicEffect1],a jp SSFP_MusicFX_Done1 ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_MUSIC_FX1_TF3",ROM0 ELSE SECTION "SoundSystem_SSFP_MUSIC_FX1_TF3",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_MUSIC_FX1_TF3: ld hl,FrequencyTable ASSERT LOW(FrequencyTable) == 0 ld a,[wChannelMusicNote1] add a ld l,a ld a,[hl+] ldh [rAUD1LOW],a ld a,[hl] ldh [rAUD1HIGH],a ; store the fx id ld a,MUSIC_FX_TRIPLEFREQ1 ld [wChannelMusicEffect1],a jp SSFP_MusicFX_Done1 ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_MUSIC_FX1_PITCHUP",ROM0 ELSE SECTION "SoundSystem_SSFP_MUSIC_FX1_PITCHUP",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_MUSIC_FX1_PITCHUP: ld hl,wChannelMusicFXParamA1 ld a,[hl] dec a ld [hl+],a jp nz,SSFP_MusicFX_Done1 ld a,[hl-] ld [hl],a ld hl,wChannelMusicFXParamB1 ld b,[hl] ld hl,wChannelFreq1 ld a,[hl] add b ld [hl+],a ldh [rAUD1LOW],a ld a,[hl] adc 0 and $07 ld [hl],a ldh [rAUD1HIGH],a jp SSFP_MusicFX_Done1 ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_MUSIC_FX1_PITCHDOWN",ROM0 ELSE SECTION "SoundSystem_SSFP_MUSIC_FX1_PITCHDOWN",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_MUSIC_FX1_PITCHDOWN: ld hl,wChannelMusicFXParamA1 ld a,[hl] dec a ld [hl+],a jp nz,SSFP_MusicFX_Done1 ld a,[hl-] ld [hl],a ld hl,wChannelMusicFXParamB1 ld b,[hl] ld hl,wChannelFreq1 ld a,[hl] sub b ld [hl+],a ldh [rAUD1LOW],a ld a,[hl] sbc 0 and $07 ld [hl],a ldh [rAUD1HIGH],a jp SSFP_MusicFX_Done1 ; ========================================================================================================================== ; channel 2 IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_MUSIC_FX2_VIB1",ROM0 ELSE SECTION "SoundSystem_SSFP_MUSIC_FX2_VIB1",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_MUSIC_FX2_VIB1: ld hl,wChannelFreq2 ld a,[hl] add 1 ; can't use inc a here because of the adc ld [hl+],a ldh [rAUD2LOW],a ld a,[hl] adc 0 and $07 ld [hl],a ldh [rAUD2HIGH],a ld hl,wChannelMusicFXParamA2+1 dec [hl] dec hl jp nz,SSFP_MusicFX_Done2 ld a,[hl+] ld [hl],a ; store the fx id ld a,MUSIC_FX_VIB2 ld [wChannelMusicEffect2],a jp SSFP_MusicFX_Done2 ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_MUSIC_FX2_VIB2",ROM0 ELSE SECTION "SoundSystem_SSFP_MUSIC_FX2_VIB2",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_MUSIC_FX2_VIB2: ld hl,wChannelFreq2 ld a,[hl] add $FF ; can't use dec a here because of the adc ld [hl+],a ldh [rAUD2LOW],a ld a,[hl] adc $FF and $07 ld [hl],a ldh [rAUD2HIGH],a ld hl,wChannelMusicFXParamA2+1 dec [hl] dec hl jp nz,SSFP_MusicFX_Done2 ld a,[hl+] ld [hl],a ; store the fx id ld a,MUSIC_FX_VIB1 ld [wChannelMusicEffect2],a jp SSFP_MusicFX_Done2 ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_MUSIC_FX2_TF1",ROM0 ELSE SECTION "SoundSystem_SSFP_MUSIC_FX2_TF1",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_MUSIC_FX2_TF1: ld hl,FrequencyTable ASSERT LOW(FrequencyTable) == 0 ld a,[wChannelMusicNote2] ld c,a ld a,[wChannelMusicFXParamA2] add c cp NUM_NOTES jr c,.noteok ld a,NUM_NOTES-1 .noteok: add a ld l,a ld a,[hl+] ldh [rAUD2LOW],a ld a,[hl] ldh [rAUD2HIGH],a ; store the fx id ld a,MUSIC_FX_TRIPLEFREQ2 ld [wChannelMusicEffect2],a jp SSFP_MusicFX_Done2 ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_MUSIC_FX2_TF2",ROM0 ELSE SECTION "SoundSystem_SSFP_MUSIC_FX2_TF2",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_MUSIC_FX2_TF2: ld hl,FrequencyTable ASSERT LOW(FrequencyTable) == 0 ld a,[wChannelMusicNote2] ld c,a ld a,[wChannelMusicFXParamA2+1] add c cp NUM_NOTES jr c,.noteok ld a,NUM_NOTES-1 .noteok: add a ld l,a ld a,[hl+] ldh [rAUD2LOW],a ld a,[hl] ldh [rAUD2HIGH],a ; store the fx id ld a,MUSIC_FX_TRIPLEFREQ3 ld [wChannelMusicEffect2],a jp SSFP_MusicFX_Done2 ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_MUSIC_FX2_TF3",ROM0 ELSE SECTION "SoundSystem_SSFP_MUSIC_FX2_TF3",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_MUSIC_FX2_TF3: ld hl,FrequencyTable ASSERT LOW(FrequencyTable) == 0 ld a,[wChannelMusicNote2] add a ld l,a ld a,[hl+] ldh [rAUD2LOW],a ld a,[hl] ldh [rAUD2HIGH],a ; store the fx id ld a,MUSIC_FX_TRIPLEFREQ1 ld [wChannelMusicEffect2],a jp SSFP_MusicFX_Done2 ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_MUSIC_FX2_PITCHUP",ROM0 ELSE SECTION "SoundSystem_SSFP_MUSIC_FX2_PITCHUP",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_MUSIC_FX2_PITCHUP: ld hl,wChannelMusicFXParamA2 ld a,[hl] dec a ld [hl+],a jp nz,SSFP_MusicFX_Done2 ld a,[hl-] ld [hl],a ld hl,wChannelMusicFXParamB2 ld b,[hl] ld hl,wChannelFreq2 ld a,[hl] add b ld [hl+],a ldh [rAUD2LOW],a ld a,[hl] adc 0 and $07 ld [hl],a ldh [rAUD2HIGH],a jp SSFP_MusicFX_Done2 ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_MUSIC_FX2_PITCHDOWN",ROM0 ELSE SECTION "SoundSystem_SSFP_MUSIC_FX2_PITCHDOWN",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_MUSIC_FX2_PITCHDOWN: ld hl,wChannelMusicFXParamA2 ld a,[hl] dec a ld [hl+],a jp nz,SSFP_MusicFX_Done2 ld a,[hl-] ld [hl],a ld hl,wChannelMusicFXParamB2 ld b,[hl] ld hl,wChannelFreq2 ld a,[hl] sub b ld [hl+],a ldh [rAUD2LOW],a ld a,[hl] sbc 0 and $07 ld [hl],a ldh [rAUD2HIGH],a jp SSFP_MusicFX_Done2 ; ========================================================================================================================== ; channel 3 IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_MUSIC_FX3_VIB1",ROM0 ELSE SECTION "SoundSystem_SSFP_MUSIC_FX3_VIB1",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_MUSIC_FX3_VIB1: ld hl,wChannelFreq3 ld a,[hl] add 1 ; can't use inc a here because of the adc ld [hl+],a ldh [rAUD3LOW],a ld a,[hl] adc 0 and $07 ld [hl],a ldh [rAUD3HIGH],a ld hl,wChannelMusicFXParamA3+1 dec [hl] dec hl jp nz,SSFP_MusicFX_Done3 ld a,[hl+] ld [hl],a ; store the fx id ld a,MUSIC_FX_VIB2 ld [wChannelMusicEffect3],a jp SSFP_MusicFX_Done3 ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_MUSIC_FX3_VIB2",ROM0 ELSE SECTION "SoundSystem_SSFP_MUSIC_FX3_VIB2",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_MUSIC_FX3_VIB2: ld hl,wChannelFreq3 ld a,[hl] add $FF ; can't use dec a here because of the adc ld [hl+],a ldh [rAUD3LOW],a ld a,[hl] adc $FF and $07 ld [hl],a ldh [rAUD3HIGH],a ld hl,wChannelMusicFXParamA3+1 dec [hl] dec hl jp nz,SSFP_MusicFX_Done3 ld a,[hl+] ld [hl],a ; store the fx id ld a,MUSIC_FX_VIB1 ld [wChannelMusicEffect3],a jp SSFP_MusicFX_Done3 ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_MUSIC_FX3_TF1",ROM0 ELSE SECTION "SoundSystem_SSFP_MUSIC_FX3_TF1",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_MUSIC_FX3_TF1: ld hl,FrequencyTable ASSERT LOW(FrequencyTable) == 0 ld a,[wChannelMusicNote3] ld c,a ld a,[wChannelMusicFXParamA3] add c cp NUM_NOTES jr c,.noteok ld a,NUM_NOTES-1 .noteok: add a ld l,a ld a,[hl+] ldh [rAUD3LOW],a ld a,[hl] ldh [rAUD3HIGH],a ; store the fx id ld a,MUSIC_FX_TRIPLEFREQ2 ld [wChannelMusicEffect3],a jp SSFP_MusicFX_Done3 ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_MUSIC_FX3_TF2",ROM0 ELSE SECTION "SoundSystem_SSFP_MUSIC_FX3_TF2",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_MUSIC_FX3_TF2: ld hl,FrequencyTable ASSERT LOW(FrequencyTable) == 0 ld a,[wChannelMusicNote3] ld c,a ld a,[wChannelMusicFXParamA3+1] add c cp NUM_NOTES jr c,.noteok ld a,NUM_NOTES-1 .noteok: add a ld l,a ld a,[hl+] ldh [rAUD3LOW],a ld a,[hl] ldh [rAUD3HIGH],a ; store the fx id ld a,MUSIC_FX_TRIPLEFREQ3 ld [wChannelMusicEffect3],a jp SSFP_MusicFX_Done3 ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_MUSIC_FX3_TF3",ROM0 ELSE SECTION "SoundSystem_SSFP_MUSIC_FX3_TF3",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_MUSIC_FX3_TF3: ld hl,FrequencyTable ASSERT LOW(FrequencyTable) == 0 ld a,[wChannelMusicNote3] add a ld l,a ld a,[hl+] ldh [rAUD3LOW],a ld a,[hl] ldh [rAUD3HIGH],a ; store the fx id ld a,MUSIC_FX_TRIPLEFREQ1 ld [wChannelMusicEffect3],a jp SSFP_MusicFX_Done3 ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_MUSIC_FX3_PITCHUP",ROM0 ELSE SECTION "SoundSystem_SSFP_MUSIC_FX3_PITCHUP",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_MUSIC_FX3_PITCHUP: ld hl,wChannelMusicFXParamA3 ld a,[hl] dec a ld [hl+],a jp nz,SSFP_MusicFX_Done3 ld a,[hl-] ld [hl],a ld hl,wChannelMusicFXParamB3 ld b,[hl] ld hl,wChannelFreq3 ld a,[hl] add b ld [hl+],a ldh [rAUD3LOW],a ld a,[hl] adc 0 and $07 ld [hl],a ldh [rAUD3HIGH],a jp SSFP_MusicFX_Done3 ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_MUSIC_FX3_PITCHDOWN",ROM0 ELSE SECTION "SoundSystem_SSFP_MUSIC_FX3_PITCHDOWN",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_MUSIC_FX3_PITCHDOWN: ld hl,wChannelMusicFXParamA3 ld a,[hl] dec a ld [hl+],a jp nz,SSFP_MusicFX_Done3 ld a,[hl-] ld [hl],a ld hl,wChannelMusicFXParamB3 ld b,[hl] ld hl,wChannelFreq3 ld a,[hl] sub b ld [hl+],a ldh [rAUD3LOW],a ld a,[hl] sbc 0 and $07 ld [hl],a ldh [rAUD3HIGH],a jp SSFP_MusicFX_Done3 ;*************************************************************************************************************************** ;* music command handlers ;*************************************************************************************************************************** IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_MUSIC_CMD_ENDOFFRAME",ROM0 ELSE SECTION "SoundSystem_SSFP_MUSIC_CMD_ENDOFFRAME",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_MUSIC_CMD_ENDOFFRAME: ld a,[de] inc de ld [wMusicNextFrame],a jp SSFP_MusicUpdateFrameEnd ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_MUSIC_CMD_PLAYINST/NOTE",ROM0 ELSE SECTION "SoundSystem_SSFP_MUSIC_CMD_PLAYINST/NOTE",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_MUSIC_CMD_PLAYINSTNOTE: ld a,[de] inc de ld l,a ld a,[de] and $03 ld b,HIGH(wChannelMusicNote1) add LOW(wChannelMusicNote1) ld c,a ld a,l ld [bc],a ld a,l add a ld l,a ld h,HIGH(FrequencyTable) ASSERT LOW(FrequencyTable) == 0 ld b,HIGH(wChannelMusicFreq1) ld a,[de] and $03 add a add LOW(wChannelMusicFreq1) ld c,a ld a,[hl+] ld [bc],a inc c ld a,[hl] ld [bc],a ; store note freq ; fall-through ; -------------------------------------------------------------------------------------------------------------------------- SSFP_MUSIC_CMD_PLAYINST: ld a,[de] inc de ld b,a ;save and $FC srl a ld c,a ld hl,wMusicInstrumentTable ld a,[hl+] add c ld c,a ld a,[hl] adc 0 ld h,a ld l,c ; check for lock ld a,[wSoundFXLock] ld c,a ld a,b ;restore and $03 jp z,.playchannel1 dec a jr z,.playchannel2 dec a jr z,.playchannel3 .playchannel4: bit SFXLOCKB_CHANNEL4,c jp z,.channeldone IF (SOUNDSYSTEM_ROM_BANKING != 0) ; change the rom bank ld a,[wMusicInstrumentBank] ld [rROMB0],a IF (SOUNDSYSTEM_LARGE_ROM != 0) ld a,[wMusicInstrumentBank+1] ld [rROMB1],a ENDC ENDC ld a,[hl+] ld [wMusicSFXInstPtr4],a ld a,[hl] ld [wMusicSFXInstPtr4+1],a ld a,1 ld [wMusicSFXInstPause4],a IF (SOUNDSYSTEM_ROM_BANKING != 0) ; update the rom bank ld a,[wMusicInstrumentBank] ld [wMusicSFXInstBank4],a IF (SOUNDSYSTEM_LARGE_ROM != 0) ld a,[wMusicInstrumentBank+1] ld [wMusicSFXInstBank4+1],a ENDC ENDC ld hl,wChannelMusicFreq4 ld bc,wChannelFreq4 ld a,[hl+] ld [bc],a inc c ld a,[hl] ld [bc],a jr .channeldone .playchannel2: bit SFXLOCKB_CHANNEL2,c jr z,.channeldone IF (SOUNDSYSTEM_ROM_BANKING != 0) ; change the rom bank ld a,[wMusicInstrumentBank] ld [rROMB0],a IF (SOUNDSYSTEM_LARGE_ROM != 0) ld a,[wMusicInstrumentBank+1] ld [rROMB1],a ENDC ENDC ld a,[hl+] ld [wMusicSFXInstPtr2],a ld a,[hl] ld [wMusicSFXInstPtr2+1],a ld a,1 ld [wMusicSFXInstPause2],a IF (SOUNDSYSTEM_ROM_BANKING != 0) ; update the rom bank ld a,[wMusicInstrumentBank] ld [wMusicSFXInstBank2],a IF (SOUNDSYSTEM_LARGE_ROM != 0) ld a,[wMusicInstrumentBank+1] ld [wMusicSFXInstBank2+1],a ENDC ENDC ld hl,wChannelMusicFreq2 ld bc,wChannelFreq2 ld a,[hl+] ld [bc],a inc c ld a,[hl] ld [bc],a jr .channeldone .playchannel3: bit SFXLOCKB_CHANNEL3,c jr z,.channeldone IF (SOUNDSYSTEM_ROM_BANKING != 0) ; change the rom bank ld a,[wMusicInstrumentBank] ld [rROMB0],a IF (SOUNDSYSTEM_LARGE_ROM != 0) ld a,[wMusicInstrumentBank+1] ld [rROMB1],a ENDC ENDC ld a,[hl+] ld [wMusicSFXInstPtr3],a ld a,[hl] ld [wMusicSFXInstPtr3+1],a ld a,1 ld [wMusicSFXInstPause3],a IF (SOUNDSYSTEM_ROM_BANKING != 0) ; update the rom bank ld a,[wMusicInstrumentBank] ld [wMusicSFXInstBank3],a IF (SOUNDSYSTEM_LARGE_ROM != 0) ld a,[wMusicInstrumentBank+1] ld [wMusicSFXInstBank3+1],a ENDC ENDC ld hl,wChannelMusicFreq3 ld bc,wChannelFreq3 ld a,[hl+] ld [bc],a inc c ld a,[hl] ld [bc],a jr .channeldone .playchannel1: bit SFXLOCKB_CHANNEL1,c jr z,.channeldone IF (SOUNDSYSTEM_ROM_BANKING != 0) ; change the rom bank ld a,[wMusicInstrumentBank] ld [rROMB0],a IF (SOUNDSYSTEM_LARGE_ROM != 0) ld a,[wMusicInstrumentBank+1] ld [rROMB1],a ENDC ENDC ld a,[hl+] ld [wMusicSFXInstPtr1],a ld a,[hl] ld [wMusicSFXInstPtr1+1],a ld a,1 ld [wMusicSFXInstPause1],a IF (SOUNDSYSTEM_ROM_BANKING != 0) ; update the rom bank ld a,[wMusicInstrumentBank] ld [wMusicSFXInstBank1],a IF (SOUNDSYSTEM_LARGE_ROM != 0) ld a,[wMusicInstrumentBank+1] ld [wMusicSFXInstBank1+1],a ENDC ENDC ld hl,wChannelMusicFreq1 ld bc,wChannelFreq1 ld a,[hl+] ld [bc],a inc c ld a,[hl] ld [bc],a .channeldone: IF (SOUNDSYSTEM_ROM_BANKING != 0) ; change the rom bank ld a,[wMusicCommandBank] ld [rROMB0],a IF (SOUNDSYSTEM_LARGE_ROM != 0) ld a,[wMusicCommandBank+1] ld [rROMB1],a ENDC ENDC jp SSFP_MusicUpdate ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_MUSIC_CMD_SETVOLUME",ROM0 ELSE SECTION "SoundSystem_SSFP_MUSIC_CMD_SETVOLUME",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_MUSIC_CMD_SETVOLUME: ld a,[de] inc de ld c,a and $03 add LOW(wChannelVol1) ld l,a ld a,HIGH(wChannelVol1) adc 0 ld h,a ld a,c and $F0 ld [hl],a jp SSFP_MusicUpdate ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_MUSIC_CMD_VIBRATO_ON",ROM0 ELSE SECTION "SoundSystem_SSFP_MUSIC_CMD_VIBRATO_ON",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_MUSIC_CMD_VIBRATO_ON: ld a,[de] ld c,a and $03 add LOW(wChannelMusicEffect1) ld h,HIGH(wChannelMusicEffect1) ld l,a ld [hl],MUSIC_FX_VIB1 sub LOW(wChannelMusicEffect1) add a add LOW(wChannelMusicFXParamA1) ld c,a ld b,HIGH(wChannelMusicFXParamA1) ld a,[de] swap a and $0F ld [bc],a ; store max inc bc ld l,a and $01 srl l or l ld [bc],a ; store max inc de jp SSFP_MusicUpdate ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_MUSIC_CMD_EFFECT_OFF",ROM0 ELSE SECTION "SoundSystem_SSFP_MUSIC_CMD_EFFECT_OFF",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_MUSIC_CMD_EFFECT_OFF: ld a,[de] inc de add LOW(wChannelMusicEffect1) ld h,HIGH(wChannelMusicEffect1) ld l,a ld [hl],MUSIC_FX_NONE jp SSFP_MusicUpdate ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_MUSIC_CMD_SYNCFLAG",ROM0 ELSE SECTION "SoundSystem_SSFP_MUSIC_CMD_SYNCFLAG",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_MUSIC_CMD_SYNCFLAG: ld a,[de] inc de ld [wMusicSyncData],a jp SSFP_MusicUpdate ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_MUSIC_CMD_ENDOFPATTERN",ROM0 ELSE SECTION "SoundSystem_SSFP_MUSIC_CMD_ENDOFPATTERN",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_MUSIC_CMD_ENDOFPATTERN: IF (SOUNDSYSTEM_ROM_BANKING != 0) ; change the rom bank ld a,[wMusicOrderBank] ld [rROMB0],a IF (SOUNDSYSTEM_LARGE_ROM != 0) ld a,[wMusicOrderBank+1] ld [rROMB1],a ENDC ENDC ld hl,wMusicOrderPtr ld a,[hl+] ld h,[hl] ld l,a add 4 ld [wMusicOrderPtr],a ld a,h adc 0 ld [wMusicOrderPtr+1],a ld a,[hl+] ld e,a ld a,[hl+] ld d,a IF (SOUNDSYSTEM_ROM_BANKING != 0) ; change and update the rom bank ld a,[hl+] ld [wMusicCommandBank],a ld [rROMB0],a IF (SOUNDSYSTEM_LARGE_ROM != 0) ld a,[hl] ld [wMusicCommandBank+1],a ld [rROMB1],a ENDC ENDC ld a,1 ld [wMusicNextFrame],a jp SSFP_MusicUpdateFrameEnd ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_MUSIC_CMD_GOTOORDER",ROM0 ELSE SECTION "SoundSystem_SSFP_MUSIC_CMD_GOTOORDER",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_MUSIC_CMD_GOTOORDER: ld a,[wMusicOrderPtr] ld c,a ld a,[wMusicOrderPtr+1] ld b,a ld a,[de] inc de ld l,a ld a,[de] inc de ld h,a add hl,bc ld a,h ld [wMusicOrderPtr+1],a ld a,l ld [wMusicOrderPtr],a jp SSFP_MusicUpdate ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_MUSIC_CMD_ENDOFSONG",ROM0 ELSE SECTION "SoundSystem_SSFP_MUSIC_CMD_ENDOFSONG",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_MUSIC_CMD_ENDOFSONG: xor a ld [wMusicPlayState],a dec de jp SSFP_MusicUpdateFrameEnd ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_MUSIC_CMD_SETSPEED",ROM0 ELSE SECTION "SoundSystem_SSFP_MUSIC_CMD_SETSPEED",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_MUSIC_CMD_SETSPEED: ld a,[de] inc de ld [wMusicSpeed],a jp SSFP_MusicUpdate ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_MUSIC_CMD_ENDOFFRAME1X",ROM0 ELSE SECTION "SoundSystem_SSFP_MUSIC_CMD_ENDOFFRAME1X",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_MUSIC_CMD_ENDOFFRAME1X: ld a,[wMusicSpeed] ld [wMusicNextFrame],a jp SSFP_MusicUpdateFrameEnd ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_MUSIC_CMD_ENDOFFRAME2X",ROM0 ELSE SECTION "SoundSystem_SSFP_MUSIC_CMD_ENDOFFRAME2X",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_MUSIC_CMD_ENDOFFRAME2X: ld a,[wMusicSpeed] add a ld [wMusicNextFrame],a jp SSFP_MusicUpdateFrameEnd ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_MUSIC_CMD_ENDOFFRAME3X",ROM0 ELSE SECTION "SoundSystem_SSFP_MUSIC_CMD_ENDOFFRAME3X",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_MUSIC_CMD_ENDOFFRAME3X: ld a,[wMusicSpeed] ld c,a add a add c ld [wMusicNextFrame],a jp SSFP_MusicUpdateFrameEnd ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_MUSIC_CMD_ENDOFFRAME4X",ROM0 ELSE SECTION "SoundSystem_SSFP_MUSIC_CMD_ENDOFFRAME4X",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_MUSIC_CMD_ENDOFFRAME4X: ld a,[wMusicSpeed] add a add a ld [wMusicNextFrame],a jp SSFP_MusicUpdateFrameEnd ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_MUSIC_CMD_PITCHUPDOWN_ON",ROM0 ELSE SECTION "SoundSystem_SSFP_MUSIC_CMD_PITCHUPDOWN_ON",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_MUSIC_CMD_PITCHUP_ON: ld a,[de] and $03 add LOW(wChannelMusicEffect1) ld h,HIGH(wChannelMusicEffect1) ld l,a ld [hl],MUSIC_FX_PITCHUP jr SSFP_MUSIC_CMD_PITCHUP_reuse SSFP_MUSIC_CMD_PITCHDOWN_ON: ld a,[de] and $03 add LOW(wChannelMusicEffect1) ld h,HIGH(wChannelMusicEffect1) ld l,a ld [hl],MUSIC_FX_PITCHDOWN SSFP_MUSIC_CMD_PITCHUP_reuse: sub LOW(wChannelMusicEffect1) add a add LOW(wChannelMusicFXParamA1) ld c,a ld b,HIGH(wChannelMusicFXParamA1) ld a,[de] swap a and $0F ld [bc],a ; store max inc c ld [bc],a ; store max ld a,7 add c ld c,a ld a,[de] srl a srl a and $03 inc a ld [bc],a inc de jp SSFP_MusicUpdate ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_MUSIC_CMD_TRIPLENOTE_ON",ROM0 ELSE SECTION "SoundSystem_SSFP_MUSIC_CMD_TRIPLENOTE_ON",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_MUSIC_CMD_TRIPLENOTE_ON: ld a,[de] inc de ; note ld l,a ld b,HIGH(wChannelMusicFXParamA1) ld a,[de] and $03 add a add LOW(wChannelMusicFXParamA1) ld c,a ld a,l swap a and $0F ld [bc],a inc c ld a,l and $0F ld [bc],a ; store note freq ld a,[de] inc de ld c,a and $03 add LOW(wChannelMusicEffect1) ld h,HIGH(wChannelMusicEffect1) ld l,a ld [hl],MUSIC_FX_TRIPLEFREQ1 jp SSFP_MusicUpdate ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_MUSIC_CMD_EXTRA",ROM0 ELSE SECTION "SoundSystem_SSFP_MUSIC_CMD_EXTRA",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_MUSIC_CMD_EXTRA: ld a,[de] inc de ld c,a and $03 jr z,SSFP_MUSIC_CMD_EXTRA_chnl1 dec a jr z,SSFP_MUSIC_CMD_EXTRA_chnl2 dec a jr z,SSFP_MUSIC_CMD_EXTRA_chnl3 ; chnl 4 jp SSFP_MusicUpdate SSFP_MUSIC_CMD_EXTRA_chnl1: ld a,c and $FC ldh [rAUD1LEN],a jp SSFP_MusicUpdate SSFP_MUSIC_CMD_EXTRA_chnl2: ld a,c and $FC ldh [rAUD2LEN],a jp SSFP_MusicUpdate SSFP_MUSIC_CMD_EXTRA_chnl3: ld a,[wMusicSFXInstChnl3Lock] or a jp nz,SSFP_MusicUpdate ld a,c and $FC ldh [rAUD3LEVEL],a jp SSFP_MusicUpdate ;*************************************************************************************************************************** ;* instrument command handlers ;*************************************************************************************************************************** ; channel 1 IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst1Update",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst1Update",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst1Update: ld a,[de] inc de ld hl,SSFP_Inst1_JumpTable add a add l ld l,a ld a,[hl+] ld h,[hl] ld l,a jp hl ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst1_CMD_FRAMEEND",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst1_CMD_FRAMEEND",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst1_CMD_FRAMEEND: ld a,[de] inc de ld [wMusicSFXInstPause1],a ; load new pause jp SSFP_Inst1UpdateFrameEnd ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst1_CMD_START",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst1_CMD_START",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst1_CMD_START: ld a,[wChannelFreq1] ldh [rAUD1LOW],a ld a,[de] inc de ld hl,wChannelFreq1+1 or [hl] ldh [rAUD1HIGH],a jp SSFP_Inst1Update ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst1_CMD_END",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst1_CMD_END",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst1_CMD_END: dec de ; rewind counter ld a,[wSoundFXLock] bit SFXLOCKB_CHANNEL1,a jp nz,SSFP_Inst1UpdateFrameEnd or SFXLOCKF_1_LEFT|SFXLOCKF_1_RIGHT ld [wSoundFXLock],a ; restore music freq ld hl,wChannelMusicFreq1 ld bc,wChannelFreq1 ld a,[hl+] ld [bc],a inc c ld a,[hl] ld [bc],a jp SSFP_Inst1UpdateFrameEnd ; do nothing else (counter loaded with 0 (256) frame wait) ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst1_CMD_ENVELOPE",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst1_CMD_ENVELOPE",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst1_CMD_ENVELOPE: ld a,[de] inc de ld hl,wChannelVol1 or [hl] ldh [rAUD1ENV],a IF (SOUNDSYSTEM_ENABLE_VUM) swap a and $0F ld [wVUMeter1],a ENDC jp SSFP_Inst1Update ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst1_CMD_STARTFREQ",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst1_CMD_STARTFREQ",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst1_CMD_STARTFREQ: ld a,[de] inc de ldh [rAUD1LOW],a ld a,[de] inc de ldh [rAUD1HIGH],a jp SSFP_Inst1Update ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst1_CMD_ENVELOPEVOL",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst1_CMD_ENVELOPEVOL",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst1_CMD_ENVELOPEVOL: ld a,[de] inc de ldh [rAUD1ENV],a IF (SOUNDSYSTEM_ENABLE_VUM) swap a and $0F ld [wVUMeter1],a ENDC jp SSFP_Inst1Update ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst1_CMD_STARTENVVOLFREQ",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst1_CMD_STARTENVVOLFREQ",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst1_CMD_STARTENVVOLFREQ: ld a,[de] inc de ldh [rAUD1ENV],a IF (SOUNDSYSTEM_ENABLE_VUM) swap a and $0F ld [wVUMeter1],a ENDC ld a,[de] inc de ldh [rAUD1LOW],a ld a,[de] inc de ldh [rAUD1HIGH],a jp SSFP_Inst1Update ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst1_CMD_PANMID",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst1_CMD_PANMID",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst1_CMD_PANMID: ld hl,wMusicSFXPanning ld a,AUDTERM_1_LEFT|AUDTERM_1_RIGHT or [hl] ld [hl],a ldh [rAUDTERM],a jp SSFP_Inst1Update ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst1_CMD_PANRIGHT",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst1_CMD_PANRIGHT",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst1_CMD_PANRIGHT: ld hl,wMusicSFXPanning ld a,AUDTERM_1_RIGHT or [hl] and ~AUDTERM_1_LEFT ld [hl],a ldh [rAUDTERM],a jp SSFP_Inst1Update ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst1_CMD_PANLEFT",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst1_CMD_PANLEFT",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst1_CMD_PANLEFT: ld hl,wMusicSFXPanning ld a,AUDTERM_1_LEFT or [hl] and ~AUDTERM_1_RIGHT ld [hl],a ldh [rAUDTERM],a jp SSFP_Inst1Update ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst1_CMD_PULSELEN",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst1_CMD_PULSELEN",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst1_CMD_PULSELEN: ld a,[de] inc de ldh [rAUD1LEN],a jp SSFP_Inst1Update ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst1_CMD_SWEEP",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst1_CMD_SWEEP",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst1_CMD_SWEEP: ld a,[de] inc de ldh [rAUD1SWEEP],a jp SSFP_Inst1Update ; ========================================================================================================================== ; channel 2 IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst2Update",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst2Update",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst2Update: ld a,[de] inc de ld hl,SSFP_Inst2_JumpTable add a add l ld l,a ld a,[hl+] ld h,[hl] ld l,a jp hl ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst2_CMD_FRAMEEND",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst2_CMD_FRAMEEND",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst2_CMD_FRAMEEND: ld a,[de] inc de ld [wMusicSFXInstPause2],a ; load new pause jp SSFP_Inst2UpdateFrameEnd ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst2_CMD_START",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst2_CMD_START",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst2_CMD_START: ld a,[wChannelFreq2] ldh [rAUD2LOW],a ld a,[de] inc de ld hl,wChannelFreq2+1 or [hl] ldh [rAUD2HIGH],a jp SSFP_Inst2Update ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst2_CMD_END",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst2_CMD_END",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst2_CMD_END: dec de ; rewind counter ld a,[wSoundFXLock] bit SFXLOCKB_CHANNEL2,a jp nz,SSFP_Inst2UpdateFrameEnd or SFXLOCKF_2_LEFT|SFXLOCKF_2_RIGHT ld [wSoundFXLock],a ; restore music freq ld hl,wChannelMusicFreq2 ld bc,wChannelFreq2 ld a,[hl+] ld [bc],a inc c ld a,[hl] ld [bc],a jp SSFP_Inst2UpdateFrameEnd ; do nothing else (counter loaded with 0 (256) frame wait) ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst2_CMD_ENVELOPE",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst2_CMD_ENVELOPE",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst2_CMD_ENVELOPE: ld a,[de] inc de ld hl,wChannelVol2 or [hl] ldh [rAUD2ENV],a IF (SOUNDSYSTEM_ENABLE_VUM) swap a and $0F ld [wVUMeter2],a ENDC jp SSFP_Inst2Update ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst2_CMD_STARTFREQ",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst2_CMD_STARTFREQ",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst2_CMD_STARTFREQ: ld a,[de] inc de ldh [rAUD2LOW],a ld a,[de] inc de ldh [rAUD2HIGH],a jp SSFP_Inst2Update ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst2_CMD_ENVELOPEVOL",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst2_CMD_ENVELOPEVOL",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst2_CMD_ENVELOPEVOL: ld a,[de] inc de ldh [rAUD2ENV],a IF (SOUNDSYSTEM_ENABLE_VUM) swap a and $0F ld [wVUMeter2],a ENDC jp SSFP_Inst2Update ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst2_CMD_STARTENVVOLFREQ",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst2_CMD_STARTENVVOLFREQ",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst2_CMD_STARTENVVOLFREQ: ld a,[de] inc de ldh [rAUD2ENV],a IF (SOUNDSYSTEM_ENABLE_VUM) swap a and $0F ld [wVUMeter2],a ENDC ld a,[de] inc de ldh [rAUD2LOW],a ld a,[de] inc de ldh [rAUD2HIGH],a jp SSFP_Inst2Update ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst2_CMD_PANMID",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst2_CMD_PANMID",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst2_CMD_PANMID: ld hl,wMusicSFXPanning ld a,AUDTERM_2_LEFT|AUDTERM_2_RIGHT or [hl] ld [hl],a ldh [rAUDTERM],a jp SSFP_Inst2Update ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst2_CMD_PANRIGHT",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst2_CMD_PANRIGHT",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst2_CMD_PANRIGHT: ld hl,wMusicSFXPanning ld a,AUDTERM_2_RIGHT or [hl] and ~AUDTERM_2_LEFT ld [hl],a ldh [rAUDTERM],a jp SSFP_Inst2Update ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst2_CMD_PANLEFT",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst2_CMD_PANLEFT",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst2_CMD_PANLEFT: ld hl,wMusicSFXPanning ld a,AUDTERM_2_LEFT or [hl] and ~AUDTERM_2_RIGHT ld [hl],a ldh [rAUDTERM],a jp SSFP_Inst2Update ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst2_CMD_PULSELEN",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst2_CMD_PULSELEN",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst2_CMD_PULSELEN: ld a,[de] inc de ldh [rAUD2LEN],a jp SSFP_Inst2Update ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst2_CMD_SWEEP",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst2_CMD_SWEEP",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst2_CMD_SWEEP: inc de ; ignore jp SSFP_Inst2Update ; ========================================================================================================================== ; channel 3 IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst3Update",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst3Update",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst3Update: ld a,[de] inc de ld hl,SSFP_Inst3_JumpTable add a add l ld l,a ld a,[hl+] ld h,[hl] ld l,a jp hl ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst3_CMD_FRAMEEND",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst3_CMD_FRAMEEND",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst3_CMD_FRAMEEND: ld a,[de] inc de ld [wMusicSFXInstPause3],a ; load new pause jp SSFP_Inst3UpdateFrameEnd ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst3_CMD_START",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst3_CMD_START",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst3_CMD_START: ld a,[wChannelFreq3] ldh [rAUD3LOW],a ld a,AUD3ENA_ON ldh [rAUD3ENA],a ld a,[de] inc de ld hl,wChannelFreq3+1 or [hl] ldh [rAUD3HIGH],a jp SSFP_Inst3Update ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst3_CMD_END",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst3_CMD_END",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst3_CMD_END: dec de ; rewind counter xor a ldh [rAUD3ENA],a IF (SOUNDSYSTEM_ENABLE_VUM) ld [wVUMeter3],a ENDC ld a,[wSoundFXLock] bit SFXLOCKB_CHANNEL3,a jp nz,SSFP_Inst3UpdateFrameEnd or SFXLOCKF_3_LEFT|SFXLOCKF_3_RIGHT ld [wSoundFXLock],a ; restore music freq ld hl,wChannelMusicFreq3 ld bc,wChannelFreq3 ld a,[hl+] ld [bc],a inc c ld a,[hl] ld [bc],a jp SSFP_Inst3UpdateFrameEnd ; do nothing else (counter loaded with 0 (256) frame wait) ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst3_CMD_ENVELOPE",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst3_CMD_ENVELOPE",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst3_CMD_ENVELOPE: ld a,[de] inc de ld hl,wChannelVol3 or [hl] ldh [rAUD3LEVEL],a IF (SOUNDSYSTEM_ENABLE_VUM) swap a sla a and $0C dec a xor $0C ld [wVUMeter3],a ENDC jp SSFP_Inst3Update ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst3_CMD_STARTFREQ",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst3_CMD_STARTFREQ",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst3_CMD_STARTFREQ: ld a,[de] inc de ldh [rAUD3LOW],a ld a,AUD3ENA_ON ldh [rAUD3ENA],a ld a,[de] inc de ldh [rAUD3HIGH],a jp SSFP_Inst3Update ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst3_CMD_ENVELOPEVOL",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst3_CMD_ENVELOPEVOL",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst3_CMD_ENVELOPEVOL: ld a,[de] inc de ldh [rAUD3LEVEL],a IF (SOUNDSYSTEM_ENABLE_VUM) swap a sla a and $0C dec a xor $0C ld [wVUMeter3],a ENDC jp SSFP_Inst3Update ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst3_CMD_STARTENVVOLFREQ",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst3_CMD_STARTENVVOLFREQ",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst3_CMD_STARTENVVOLFREQ: ld a,[de] inc de ldh [rAUD3LEVEL],a IF (SOUNDSYSTEM_ENABLE_VUM) swap a sla a and $0C dec a xor $0C ld [wVUMeter3],a ENDC ld a,[de] inc de ldh [rAUD3LOW],a ld a,AUD3ENA_ON ldh [rAUD3ENA],a ld a,[de] inc de ldh [rAUD3HIGH],a jp SSFP_Inst3Update ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst3_CMD_PANMID",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst3_CMD_PANMID",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst3_CMD_PANMID: ld hl,wMusicSFXPanning ld a,AUDTERM_3_LEFT|AUDTERM_3_RIGHT or [hl] ld [hl],a ldh [rAUDTERM],a jp SSFP_Inst3Update ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst3_CMD_PANRIGHT",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst3_CMD_PANRIGHT",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst3_CMD_PANRIGHT: ld hl,wMusicSFXPanning ld a,AUDTERM_3_RIGHT or [hl] and ~AUDTERM_3_LEFT ld [hl],a ldh [rAUDTERM],a jp SSFP_Inst3Update ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst3_CMD_PANLEFT",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst3_CMD_PANLEFT",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst3_CMD_PANLEFT: ld hl,wMusicSFXPanning ld a,AUDTERM_3_LEFT or [hl] and ~AUDTERM_3_RIGHT ld [hl],a ldh [rAUDTERM],a jp SSFP_Inst3Update ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst3_CMD_WAVE",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst3_CMD_WAVE",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst3_CMD_WAVE: ld hl,wMusicSFXInstChnl3WaveID ld a,[de] inc de cp 255 jr z,.loadlong cp [hl] jr z,.skip .loadlong: ld hl,_AUD3WAVERAM xor a ldh [rAUD3ENA],a REPT 16 ld a,[de] inc de ld [hl+],a ENDR jp SSFP_Inst3Update .skip: ld a,e add 16 ld e,a ld a,d adc 0 ld d,a jp SSFP_Inst3Update ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst3_CMD_LEN",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst3_CMD_LEN",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst3_CMD_LEN: ld a,[de] inc de ldh [rAUD3LEN],a jp SSFP_Inst3Update ; ========================================================================================================================== ; channel 4 IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst4Update",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst4Update",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst4Update: ld a,[de] inc de ld hl,SSFP_Inst4_JumpTable add a add l ld l,a ld a,[hl+] ld h,[hl] ld l,a jp hl ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst4_CMD_FRAMEEND",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst4_CMD_FRAMEEND",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst4_CMD_FRAMEEND: ld a,[de] inc de ld [wMusicSFXInstPause4],a ; load new pause jp SSFP_Inst4UpdateFrameEnd ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst4_CMD_START",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst4_CMD_START",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst4_CMD_START: ld a,[de] inc de ldh [rAUD4GO],a jp SSFP_Inst4Update ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst4_CMD_END",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst4_CMD_END",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst4_CMD_END: dec de ; rewind counter ld a,[wSoundFXLock] bit SFXLOCKB_CHANNEL4,a jp nz,SSFP_Inst4UpdateFrameEnd or SFXLOCKF_4_LEFT|SFXLOCKF_4_RIGHT ld [wSoundFXLock],a ; restore music freq ld hl,wChannelMusicFreq4 ld bc,wChannelFreq4 ld a,[hl+] ld [bc],a inc c ld a,[hl] ld [bc],a jp SSFP_Inst4UpdateFrameEnd ; do nothing else (counter loaded with 0 (256) frame wait) ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst4_CMD_ENVELOPE",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst4_CMD_ENVELOPE",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst4_CMD_ENVELOPE: ld a,[de] inc de ld hl,wChannelVol4 or [hl] ldh [rAUD4ENV],a IF (SOUNDSYSTEM_ENABLE_VUM) swap a and $0F ld [wVUMeter4],a ENDC jp SSFP_Inst4Update ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst4_CMD_STARTFREQ",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst4_CMD_STARTFREQ",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst4_CMD_STARTFREQ: ld a,[de] inc de ldh [rAUD4POLY],a ld a,[de] inc de ldh [rAUD4GO],a jp SSFP_Inst4Update ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst4_CMD_ENVELOPEVOL",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst4_CMD_ENVELOPEVOL",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst4_CMD_ENVELOPEVOL: ld a,[de] inc de ldh [rAUD4ENV],a IF (SOUNDSYSTEM_ENABLE_VUM) swap a and $0F ld [wVUMeter4],a ENDC jp SSFP_Inst4Update ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst4_CMD_STARTENVVOLFREQ",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst4_CMD_STARTENVVOLFREQ",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst4_CMD_STARTENVVOLFREQ: ld a,[de] inc de ldh [rAUD4ENV],a IF (SOUNDSYSTEM_ENABLE_VUM) swap a and $0F ld [wVUMeter4],a ENDC ld a,[de] inc de ldh [rAUD4POLY],a ld a,[de] inc de ldh [rAUD4GO],a jp SSFP_Inst4Update ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst4_CMD_PANMID",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst4_CMD_PANMID",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst4_CMD_PANMID: ld hl,wMusicSFXPanning ld a,AUDTERM_4_LEFT|AUDTERM_4_RIGHT or [hl] ld [hl],a ldh [rAUDTERM],a jp SSFP_Inst4Update ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst4_CMD_PANRIGHT",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst4_CMD_PANRIGHT",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst4_CMD_PANRIGHT: ld hl,wMusicSFXPanning ld a,AUDTERM_4_RIGHT or [hl] and AUDTERM_4_LEFT ^ $FF ; same as ~, but ~ here triggers a false warning ld [hl],a ldh [rAUDTERM],a jp SSFP_Inst4Update ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst4_CMD_PANLEFT",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst4_CMD_PANLEFT",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst4_CMD_PANLEFT: ld hl,wMusicSFXPanning ld a,AUDTERM_4_LEFT or [hl] and ~AUDTERM_4_RIGHT ld [hl],a ldh [rAUDTERM],a jp SSFP_Inst4Update ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst4_CMD_POLYLOAD",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst4_CMD_POLYLOAD",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst4_CMD_POLYLOAD: ld a,[de] inc de ldh [rAUD4POLY],a jp SSFP_Inst4Update ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem_SSFP_Inst4_CMD_LEN",ROM0 ELSE SECTION "SoundSystem_SSFP_Inst4_CMD_LEN",ROMX,BANK[SOUNDSYSTEM_CODE_BANK] ENDC SSFP_Inst4_CMD_LEN: ld a,[de] inc de ldh [rAUD4LEN],a jp SSFP_Inst4Update ;*************************************************************************************************************************** ;* tables of fx/command handlers ;*************************************************************************************************************************** IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem Music FX Table 1",ROM0,ALIGN[5] ELSE SECTION "SoundSystem Music FX Table 1",ROMX,BANK[SOUNDSYSTEM_CODE_BANK],ALIGN[5] ENDC SSFP_MusicFX_JumpTable1: DW $0000 ; dummy DW SSFP_MUSIC_FX1_VIB1 DW SSFP_MUSIC_FX1_VIB2 DW SSFP_MUSIC_FX1_TF1 DW SSFP_MUSIC_FX1_TF2 DW SSFP_MUSIC_FX1_TF3 DW SSFP_MUSIC_FX1_PITCHUP DW SSFP_MUSIC_FX1_PITCHDOWN ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem Music FX Table 2",ROM0,ALIGN[5] ELSE SECTION "SoundSystem Music FX Table 2",ROMX,BANK[SOUNDSYSTEM_CODE_BANK],ALIGN[5] ENDC SSFP_MusicFX_JumpTable2: DW $0000 ; dummy DW SSFP_MUSIC_FX2_VIB1 DW SSFP_MUSIC_FX2_VIB2 DW SSFP_MUSIC_FX2_TF1 DW SSFP_MUSIC_FX2_TF2 DW SSFP_MUSIC_FX2_TF3 DW SSFP_MUSIC_FX2_PITCHUP DW SSFP_MUSIC_FX2_PITCHDOWN ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem Music FX Table 3",ROM0,ALIGN[5] ELSE SECTION "SoundSystem Music FX Table 3",ROMX,BANK[SOUNDSYSTEM_CODE_BANK],ALIGN[5] ENDC SSFP_MusicFX_JumpTable3: DW $0000 ; dummy DW SSFP_MUSIC_FX3_VIB1 DW SSFP_MUSIC_FX3_VIB2 DW SSFP_MUSIC_FX3_TF1 DW SSFP_MUSIC_FX3_TF2 DW SSFP_MUSIC_FX3_TF3 DW SSFP_MUSIC_FX3_PITCHUP DW SSFP_MUSIC_FX3_PITCHDOWN ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem Music Table",ROM0,ALIGN[6] ELSE SECTION "SoundSystem Music Table",ROMX,BANK[SOUNDSYSTEM_CODE_BANK],ALIGN[6] ENDC SSFP_Music_JumpTable: DW SSFP_MUSIC_CMD_ENDOFFRAME DW SSFP_MUSIC_CMD_PLAYINSTNOTE DW SSFP_MUSIC_CMD_PLAYINST DW SSFP_MUSIC_CMD_SETVOLUME DW SSFP_MUSIC_CMD_VIBRATO_ON DW SSFP_MUSIC_CMD_EFFECT_OFF DW SSFP_MUSIC_CMD_SYNCFLAG DW SSFP_MUSIC_CMD_ENDOFPATTERN DW SSFP_MUSIC_CMD_GOTOORDER DW SSFP_MUSIC_CMD_ENDOFSONG DW SSFP_MUSIC_CMD_SETSPEED DW SSFP_MUSIC_CMD_ENDOFFRAME1X DW SSFP_MUSIC_CMD_ENDOFFRAME2X DW SSFP_MUSIC_CMD_ENDOFFRAME3X DW SSFP_MUSIC_CMD_ENDOFFRAME4X DW SSFP_MUSIC_CMD_PITCHUP_ON DW SSFP_MUSIC_CMD_PITCHDOWN_ON DW SSFP_MUSIC_CMD_TRIPLENOTE_ON DW SSFP_MUSIC_CMD_EXTRA ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem Inst1 Table",ROM0,ALIGN[5] ELSE SECTION "SoundSystem Inst1 Table",ROMX,BANK[SOUNDSYSTEM_CODE_BANK],ALIGN[5] ENDC SSFP_Inst1_JumpTable: ; common commands DW SSFP_Inst1_CMD_FRAMEEND DW SSFP_Inst1_CMD_START DW SSFP_Inst1_CMD_END DW SSFP_Inst1_CMD_ENVELOPE DW SSFP_Inst1_CMD_STARTFREQ DW SSFP_Inst1_CMD_ENVELOPEVOL DW SSFP_Inst1_CMD_STARTENVVOLFREQ DW SSFP_Inst1_CMD_PANMID DW SSFP_Inst1_CMD_PANRIGHT DW SSFP_Inst1_CMD_PANLEFT ; specific commands DW SSFP_Inst1_CMD_PULSELEN DW SSFP_Inst1_CMD_SWEEP ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem Inst2 Table",ROM0,ALIGN[5] ELSE SECTION "SoundSystem Inst2 Table",ROMX,BANK[SOUNDSYSTEM_CODE_BANK],ALIGN[5] ENDC SSFP_Inst2_JumpTable: ; common commands DW SSFP_Inst2_CMD_FRAMEEND DW SSFP_Inst2_CMD_START DW SSFP_Inst2_CMD_END DW SSFP_Inst2_CMD_ENVELOPE DW SSFP_Inst2_CMD_STARTFREQ DW SSFP_Inst2_CMD_ENVELOPEVOL DW SSFP_Inst2_CMD_STARTENVVOLFREQ DW SSFP_Inst2_CMD_PANMID DW SSFP_Inst2_CMD_PANRIGHT DW SSFP_Inst2_CMD_PANLEFT ; specific commands DW SSFP_Inst2_CMD_PULSELEN DW SSFP_Inst2_CMD_SWEEP ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem Inst3 Table",ROM0,ALIGN[5] ELSE SECTION "SoundSystem Inst3 Table",ROMX,BANK[SOUNDSYSTEM_CODE_BANK],ALIGN[5] ENDC SSFP_Inst3_JumpTable: ; common commands DW SSFP_Inst3_CMD_FRAMEEND DW SSFP_Inst3_CMD_START DW SSFP_Inst3_CMD_END DW SSFP_Inst3_CMD_ENVELOPEVOL ; prevent crash DW SSFP_Inst3_CMD_STARTFREQ DW SSFP_Inst3_CMD_ENVELOPEVOL DW SSFP_Inst3_CMD_STARTENVVOLFREQ DW SSFP_Inst3_CMD_PANMID DW SSFP_Inst3_CMD_PANRIGHT DW SSFP_Inst3_CMD_PANLEFT ; specific commands DW SSFP_Inst3_CMD_WAVE DW SSFP_Inst3_CMD_LEN ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem Inst4 Table",ROM0,ALIGN[5] ELSE SECTION "SoundSystem Inst4 Table",ROMX,BANK[SOUNDSYSTEM_CODE_BANK],ALIGN[5] ENDC SSFP_Inst4_JumpTable: ; common commands DW SSFP_Inst4_CMD_FRAMEEND DW SSFP_Inst4_CMD_START DW SSFP_Inst4_CMD_END DW SSFP_Inst4_CMD_ENVELOPE DW SSFP_Inst4_CMD_STARTFREQ DW SSFP_Inst4_CMD_ENVELOPEVOL DW SSFP_Inst4_CMD_STARTENVVOLFREQ DW SSFP_Inst4_CMD_PANMID DW SSFP_Inst4_CMD_PANRIGHT DW SSFP_Inst4_CMD_PANLEFT ; specific commands DW SSFP_Inst4_CMD_POLYLOAD DW SSFP_Inst4_CMD_LEN ; -------------------------------------------------------------------------------------------------------------------------- IF (SOUNDSYSTEM_CODE_BANK == 0) SECTION "SoundSystem Frequency Table",ROM0,ALIGN[8] ELSE SECTION "SoundSystem Frequency Table",ROMX,BANK[SOUNDSYSTEM_CODE_BANK],ALIGN[8] ENDC FrequencyTable: ; C C#/Db D D#/Eb E F F#/Gb G G#/Ab A A#/Bb B DW $0020,$0091,$00FC,$0160,$01C0,$0219,$026E,$02BE,$030a,$0351,$0394,$03D4 ; octave 2 DW $0410,$0448,$047E,$04B0,$04E0,$050D,$0537,$055F,$0585,$05A8,$05Ca,$05EA ; octave 3 DW $0608,$0624,$063F,$0658,$0670,$0686,$069C,$06B0,$06C2,$06D4,$06E5,$06F5 ; octave 4 DW $0704,$0712,$071F,$072C,$0738,$0743,$074E,$0758,$0761,$076a,$0773,$077A ; octave 5 DW $0782,$0789,$0790,$0796,$079C,$07A2,$07A7,$07AC,$07B1,$07B5,$07B9,$07BD ; octave 6 DW $07C1,$07C5,$07C8,$07CB,$07CE,$07D1,$07D3,$07D6,$07D8,$07DB,$07DD,$07DF ; octave 7
24.740779
125
0.587767
[ "MIT" ]
gb-archive/GBSoundSystem
Driver/SoundSystem.asm
95,252
Assembly
4
; A066999: a(n) = 3^n * Sum_{i=1..n} i^3/3^i. ; Submitted by Jamie Morken(s2) ; 1,11,60,244,857,2787,8704,26624,80601,242803,729740,2190948,6575041,19727867,59186976,177565024,532699985,1598105787,4794324220,14382980660,43148951241,129446864371,388340605280,1165021829664,3495065504617,10485196531427,31455589613964,94366768863844,283100306615921,849300919874763,2547902759654080,7643708278995008,22931124837020961,68793374511102187,206380123533349436,619140370600094964,1857421111800335545,5572263335401061507,16716790006203243840,50150370018609795520,150451110055829455481 mov $6,$0 add $6,1 lpb $6 mul $1,3 mov $2,$3 add $2,3 add $4,1 mov $5,$4 pow $5,$2 add $1,$5 sub $6,1 lpe mov $0,$1
39.333333
496
0.793785
[ "Apache-2.0" ]
ckrause/loda-programs
oeis/066/A066999.asm
708
Assembly
4
; uint16_t esx_f_write(unsigned char handle, void *src, size_t nbytes) SECTION code_esxdos PUBLIC _esx_f_write EXTERN l0_esx_f_write_callee _esx_f_write: pop de dec sp pop af pop hl pop bc push bc push hl push af inc sp push de jp l0_esx_f_write_callee
12.458333
70
0.695652
[ "BSD-2-Clause" ]
ByteProject/Puddle-BuildTools
FictionTools/z88dk/libsrc/_DEVELOPMENT/arch/zxn/esxdos/c/sdcc_ix/esx_f_write.asm
299
Assembly
4
; A022105: Fibonacci sequence beginning 1, 15. ; 1,15,16,31,47,78,125,203,328,531,859,1390,2249,3639,5888,9527,15415,24942,40357,65299,105656,170955,276611,447566,724177,1171743,1895920,3067663,4963583,8031246,12994829 mov $1,1 mov $2,5 lpb $0,1 sub $0,1 add $1,$2 add $2,10 mov $3,$1 mov $1,$2 mov $2,$3 lpe
23
171
0.695652
[ "Apache-2.0" ]
karttu/loda
programs/oeis/022/A022105.asm
322
Assembly
4
; A310373: Coordination sequence Gal.6.150.5 where G.u.t.v denotes the coordination sequence for a vertex of type v in tiling number t in the Galebach list of u-uniform tilings. ; Submitted by Christian Krause ; 1,4,10,14,18,23,27,31,36,40,44,50,54,58,64,68,72,77,81,85,90,94,98,104,108,112,118,122,126,131,135,139,144,148,152,158,162,166,172,176,180,185,189,193,198,202,206,212,216,220 mov $1,$0 seq $0,312890 ; Coordination sequence Gal.6.115.5 where G.u.t.v denotes the coordination sequence for a vertex of type v in tiling number t in the Galebach list of u-uniform tilings. add $1,1 div $1,3 add $0,$1
60.9
182
0.745484
[ "Apache-2.0" ]
ckrause/loda-programs
oeis/310/A310373.asm
609
Assembly
4
;/*! ; @file ; ; @brief BvsSavRedrawWait DOS wrapper ; ; (c) osFree Project 2021, <http://www.osFree.org> ; for licence see licence.txt in root directory, or project website ; ; This is Family API implementation for DOS, used with BIND tools ; to link required API ; ; @author Yuri Prokushev ([email protected]) ; ;*/ .8086 ; Helpers INCLUDE helpers.inc INCLUDE dos.inc INCLUDE bseerr.inc _TEXT SEGMENT BYTE PUBLIC 'CODE' USE16 @BVSPROLOG BVSSAVREDRAWWAIT VIOHANDLE DW ? ;Video handle NOTIFYTYPE DD ? ; SAVREDRAWINDIC DW ? ; @BVSSTART BVSSAVREDRAWWAIT EXTERN VIOCHECKHANDLE: PROC MOV BX,[DS:BP].ARGS.VIOHANDLE ; GET HANDLE CALL VIOCHECKHANDLE JNZ EXIT XOR AX,AX ; ALL IS OK EXIT: @BVSEPILOG BVSSAVREDRAWWAIT _TEXT ENDS END
18.790698
69
0.685644
[ "BSD-3-Clause" ]
osfree-project/FamilyAPI
bvs/BvsSavRedrawWait.asm
808
Assembly
4
SECTION "Stack", WRAM0[$C080] ds $80 - 1 wStack:: SECTION "Init", ROM0 Init: di cp $11 ld a, 1 jr z, .cgb xor a .cgb ld [hGBC], a xor a ldx [rIF], [rIE] ldx [rRP] ldx [rSCX], [rSCY] ldx [rSB], [rSC] ldx [rWX], [rWY] ldx [rBGP], [rOBP0], [rOBP1] ldx [rTMA], [rTAC] put [rTAC], rTAC_4096Hz .wait ld a, [rLY] cp 144 jr c, .wait xor a ld [rLCDC], a ld sp, wStack fill $C000, $2000, 0 ld a, [hGBC] and a jr z, .cleared_wram ld a, 7 .wram_bank push af ld [rSVBK], a fill $D000, $1000, 0 pop af dec a cp 1 jr nc, .wram_bank .cleared_wram ld a, [hGBC] push af fill $FF80, $7F, 0 pop af ld [hGBC], a fill $8000, $2000, 0 fill $FE00, $A0, 0 put [rJOYP], 0 put [rSTAT], 8 ; hblank enable put [rWY], $90 put [rWX], 7 put [rLCDC], %11100011 IF def(NormalSpeed) ; not implemented yet ld a, [hGBC] and a call nz, NormalSpeed ENDC put [rIF], 0 put [rIE], %1111 ei halt call WriteDMATransferToHRAM call Main ; if Main returns, restart the program jp Init
10.893617
41
0.599609
[ "MIT" ]
gb-archive/waveform-gb
src/code/init.asm
1,024
Assembly
4
; A288170: a(n) = 3*a(n-1) - a(n-2) - 4*a(n-3) + 2*a(n-4) for n >= 4, where a(0) = 2, a(1) = 4, a(2) = 8, a(3) = 16, a(4) = 34, a(5) = 70 . ; 2,4,8,16,34,70,144,292,590,1186,2380,4768,9546,19102,38216,76444,152902,305818,611652,1223320,2446658,4893334,9786688,19573396,39146814,78293650,156587324,313174672,626349370,1252698766,2505397560,5010795148,10021590326,20043180682,40086361396,80172722824,160345445682,320690891398,641381782832,1282763565700,2565527131438,5131054262914,10262108525868,20524217051776,41048434103594,82096868207230,164193736414504,328387472829052,656774945658150,1313549891316346,2627099782632740,5254199565265528 mov $14,$0 mov $16,$0 add $16,1 lpb $16 clr $0,14 mov $0,$14 sub $16,1 sub $0,$16 mov $11,$0 mov $13,$0 add $13,1 lpb $13 mov $0,$11 sub $13,1 sub $0,$13 mov $7,$0 mov $9,2 lpb $9 mov $0,$7 sub $9,1 add $0,$9 sub $0,2 mov $1,6 mov $4,7 lpb $0 sub $0,1 mul $4,2 lpe div $4,$1 mov $1,$4 mov $10,$9 lpb $10 mov $8,$1 sub $10,1 lpe lpe lpb $7 mov $7,0 sub $8,$1 lpe mov $1,$8 mul $1,2 add $12,$1 lpe add $15,$12 lpe mov $1,$15
24.54902
497
0.589457
[ "Apache-2.0" ]
jmorken/loda
programs/oeis/288/A288170.asm
1,252
Assembly
4
_chmod: file format elf32-i386 Disassembly of section .text: 00000000 <main>: #include "types.h" #include "user.h" int main(int argc, char* argv[]) { 0: 8d 4c 24 04 lea 0x4(%esp),%ecx 4: 83 e4 f0 and $0xfffffff0,%esp 7: ff 71 fc pushl -0x4(%ecx) a: 55 push %ebp b: 89 e5 mov %esp,%ebp d: 51 push %ecx e: 83 ec 14 sub $0x14,%esp 11: 89 c8 mov %ecx,%eax char *modeIn = argv[1]; 13: 8b 50 04 mov 0x4(%eax),%edx 16: 8b 52 04 mov 0x4(%edx),%edx 19: 89 55 f0 mov %edx,-0x10(%ebp) char *path = argv[2]; 1c: 8b 40 04 mov 0x4(%eax),%eax 1f: 8b 40 08 mov 0x8(%eax),%eax 22: 89 45 ec mov %eax,-0x14(%ebp) if(strlen(modeIn) > 4) 25: 83 ec 0c sub $0xc,%esp 28: ff 75 f0 pushl -0x10(%ebp) 2b: e8 45 01 00 00 call 175 <strlen> 30: 83 c4 10 add $0x10,%esp 33: 83 f8 04 cmp $0x4,%eax 36: 76 12 jbe 4a <main+0x4a> printf(2, "Error: invalid MODE\n"); 38: 83 ec 08 sub $0x8,%esp 3b: 68 52 09 00 00 push $0x952 40: 6a 02 push $0x2 42: e8 55 05 00 00 call 59c <printf> 47: 83 c4 10 add $0x10,%esp for(int i = 0; i < strlen(modeIn); i++) { 4a: c7 45 f4 00 00 00 00 movl $0x0,-0xc(%ebp) 51: eb 39 jmp 8c <main+0x8c> if(modeIn[i] < '0' || modeIn[i] > '7') { 53: 8b 55 f4 mov -0xc(%ebp),%edx 56: 8b 45 f0 mov -0x10(%ebp),%eax 59: 01 d0 add %edx,%eax 5b: 0f b6 00 movzbl (%eax),%eax 5e: 3c 2f cmp $0x2f,%al 60: 7e 0f jle 71 <main+0x71> 62: 8b 55 f4 mov -0xc(%ebp),%edx 65: 8b 45 f0 mov -0x10(%ebp),%eax 68: 01 d0 add %edx,%eax 6a: 0f b6 00 movzbl (%eax),%eax 6d: 3c 37 cmp $0x37,%al 6f: 7e 17 jle 88 <main+0x88> printf(2, "Error: invalid MODE\n"); 71: 83 ec 08 sub $0x8,%esp 74: 68 52 09 00 00 push $0x952 79: 6a 02 push $0x2 7b: e8 1c 05 00 00 call 59c <printf> 80: 83 c4 10 add $0x10,%esp exit(); 83: e8 3d 03 00 00 call 3c5 <exit> { char *modeIn = argv[1]; char *path = argv[2]; if(strlen(modeIn) > 4) printf(2, "Error: invalid MODE\n"); for(int i = 0; i < strlen(modeIn); i++) { 88: 83 45 f4 01 addl $0x1,-0xc(%ebp) 8c: 83 ec 0c sub $0xc,%esp 8f: ff 75 f0 pushl -0x10(%ebp) 92: e8 de 00 00 00 call 175 <strlen> 97: 83 c4 10 add $0x10,%esp 9a: 89 c2 mov %eax,%edx 9c: 8b 45 f4 mov -0xc(%ebp),%eax 9f: 39 c2 cmp %eax,%edx a1: 77 b0 ja 53 <main+0x53> if(modeIn[i] < '0' || modeIn[i] > '7') { printf(2, "Error: invalid MODE\n"); exit(); } } int mode = atoo(modeIn); a3: 83 ec 0c sub $0xc,%esp a6: ff 75 f0 pushl -0x10(%ebp) a9: e8 44 02 00 00 call 2f2 <atoo> ae: 83 c4 10 add $0x10,%esp b1: 89 45 e8 mov %eax,-0x18(%ebp) if(chmod(path, mode) < 0) b4: 83 ec 08 sub $0x8,%esp b7: ff 75 e8 pushl -0x18(%ebp) ba: ff 75 ec pushl -0x14(%ebp) bd: e8 eb 03 00 00 call 4ad <chmod> c2: 83 c4 10 add $0x10,%esp c5: 85 c0 test %eax,%eax c7: 79 12 jns db <main+0xdb> printf(2, "Error: exec chmod failure\n"); c9: 83 ec 08 sub $0x8,%esp cc: 68 67 09 00 00 push $0x967 d1: 6a 02 push $0x2 d3: e8 c4 04 00 00 call 59c <printf> d8: 83 c4 10 add $0x10,%esp exit(); db: e8 e5 02 00 00 call 3c5 <exit> 000000e0 <stosb>: "cc"); } static inline void stosb(void *addr, int data, int cnt) { e0: 55 push %ebp e1: 89 e5 mov %esp,%ebp e3: 57 push %edi e4: 53 push %ebx asm volatile("cld; rep stosb" : e5: 8b 4d 08 mov 0x8(%ebp),%ecx e8: 8b 55 10 mov 0x10(%ebp),%edx eb: 8b 45 0c mov 0xc(%ebp),%eax ee: 89 cb mov %ecx,%ebx f0: 89 df mov %ebx,%edi f2: 89 d1 mov %edx,%ecx f4: fc cld f5: f3 aa rep stos %al,%es:(%edi) f7: 89 ca mov %ecx,%edx f9: 89 fb mov %edi,%ebx fb: 89 5d 08 mov %ebx,0x8(%ebp) fe: 89 55 10 mov %edx,0x10(%ebp) "=D" (addr), "=c" (cnt) : "0" (addr), "1" (cnt), "a" (data) : "memory", "cc"); } 101: 90 nop 102: 5b pop %ebx 103: 5f pop %edi 104: 5d pop %ebp 105: c3 ret 00000106 <strcpy>: #include "user.h" #include "x86.h" char* strcpy(char *s, char *t) { 106: 55 push %ebp 107: 89 e5 mov %esp,%ebp 109: 83 ec 10 sub $0x10,%esp char *os; os = s; 10c: 8b 45 08 mov 0x8(%ebp),%eax 10f: 89 45 fc mov %eax,-0x4(%ebp) while((*s++ = *t++) != 0) 112: 90 nop 113: 8b 45 08 mov 0x8(%ebp),%eax 116: 8d 50 01 lea 0x1(%eax),%edx 119: 89 55 08 mov %edx,0x8(%ebp) 11c: 8b 55 0c mov 0xc(%ebp),%edx 11f: 8d 4a 01 lea 0x1(%edx),%ecx 122: 89 4d 0c mov %ecx,0xc(%ebp) 125: 0f b6 12 movzbl (%edx),%edx 128: 88 10 mov %dl,(%eax) 12a: 0f b6 00 movzbl (%eax),%eax 12d: 84 c0 test %al,%al 12f: 75 e2 jne 113 <strcpy+0xd> ; return os; 131: 8b 45 fc mov -0x4(%ebp),%eax } 134: c9 leave 135: c3 ret 00000136 <strcmp>: int strcmp(const char *p, const char *q) { 136: 55 push %ebp 137: 89 e5 mov %esp,%ebp while(*p && *p == *q) 139: eb 08 jmp 143 <strcmp+0xd> p++, q++; 13b: 83 45 08 01 addl $0x1,0x8(%ebp) 13f: 83 45 0c 01 addl $0x1,0xc(%ebp) } int strcmp(const char *p, const char *q) { while(*p && *p == *q) 143: 8b 45 08 mov 0x8(%ebp),%eax 146: 0f b6 00 movzbl (%eax),%eax 149: 84 c0 test %al,%al 14b: 74 10 je 15d <strcmp+0x27> 14d: 8b 45 08 mov 0x8(%ebp),%eax 150: 0f b6 10 movzbl (%eax),%edx 153: 8b 45 0c mov 0xc(%ebp),%eax 156: 0f b6 00 movzbl (%eax),%eax 159: 38 c2 cmp %al,%dl 15b: 74 de je 13b <strcmp+0x5> p++, q++; return (uchar)*p - (uchar)*q; 15d: 8b 45 08 mov 0x8(%ebp),%eax 160: 0f b6 00 movzbl (%eax),%eax 163: 0f b6 d0 movzbl %al,%edx 166: 8b 45 0c mov 0xc(%ebp),%eax 169: 0f b6 00 movzbl (%eax),%eax 16c: 0f b6 c0 movzbl %al,%eax 16f: 29 c2 sub %eax,%edx 171: 89 d0 mov %edx,%eax } 173: 5d pop %ebp 174: c3 ret 00000175 <strlen>: uint strlen(char *s) { 175: 55 push %ebp 176: 89 e5 mov %esp,%ebp 178: 83 ec 10 sub $0x10,%esp int n; for(n = 0; s[n]; n++) 17b: c7 45 fc 00 00 00 00 movl $0x0,-0x4(%ebp) 182: eb 04 jmp 188 <strlen+0x13> 184: 83 45 fc 01 addl $0x1,-0x4(%ebp) 188: 8b 55 fc mov -0x4(%ebp),%edx 18b: 8b 45 08 mov 0x8(%ebp),%eax 18e: 01 d0 add %edx,%eax 190: 0f b6 00 movzbl (%eax),%eax 193: 84 c0 test %al,%al 195: 75 ed jne 184 <strlen+0xf> ; return n; 197: 8b 45 fc mov -0x4(%ebp),%eax } 19a: c9 leave 19b: c3 ret 0000019c <memset>: void* memset(void *dst, int c, uint n) { 19c: 55 push %ebp 19d: 89 e5 mov %esp,%ebp stosb(dst, c, n); 19f: 8b 45 10 mov 0x10(%ebp),%eax 1a2: 50 push %eax 1a3: ff 75 0c pushl 0xc(%ebp) 1a6: ff 75 08 pushl 0x8(%ebp) 1a9: e8 32 ff ff ff call e0 <stosb> 1ae: 83 c4 0c add $0xc,%esp return dst; 1b1: 8b 45 08 mov 0x8(%ebp),%eax } 1b4: c9 leave 1b5: c3 ret 000001b6 <strchr>: char* strchr(const char *s, char c) { 1b6: 55 push %ebp 1b7: 89 e5 mov %esp,%ebp 1b9: 83 ec 04 sub $0x4,%esp 1bc: 8b 45 0c mov 0xc(%ebp),%eax 1bf: 88 45 fc mov %al,-0x4(%ebp) for(; *s; s++) 1c2: eb 14 jmp 1d8 <strchr+0x22> if(*s == c) 1c4: 8b 45 08 mov 0x8(%ebp),%eax 1c7: 0f b6 00 movzbl (%eax),%eax 1ca: 3a 45 fc cmp -0x4(%ebp),%al 1cd: 75 05 jne 1d4 <strchr+0x1e> return (char*)s; 1cf: 8b 45 08 mov 0x8(%ebp),%eax 1d2: eb 13 jmp 1e7 <strchr+0x31> } char* strchr(const char *s, char c) { for(; *s; s++) 1d4: 83 45 08 01 addl $0x1,0x8(%ebp) 1d8: 8b 45 08 mov 0x8(%ebp),%eax 1db: 0f b6 00 movzbl (%eax),%eax 1de: 84 c0 test %al,%al 1e0: 75 e2 jne 1c4 <strchr+0xe> if(*s == c) return (char*)s; return 0; 1e2: b8 00 00 00 00 mov $0x0,%eax } 1e7: c9 leave 1e8: c3 ret 000001e9 <gets>: char* gets(char *buf, int max) { 1e9: 55 push %ebp 1ea: 89 e5 mov %esp,%ebp 1ec: 83 ec 18 sub $0x18,%esp int i, cc; char c; for(i=0; i+1 < max; ){ 1ef: c7 45 f4 00 00 00 00 movl $0x0,-0xc(%ebp) 1f6: eb 42 jmp 23a <gets+0x51> cc = read(0, &c, 1); 1f8: 83 ec 04 sub $0x4,%esp 1fb: 6a 01 push $0x1 1fd: 8d 45 ef lea -0x11(%ebp),%eax 200: 50 push %eax 201: 6a 00 push $0x0 203: e8 d5 01 00 00 call 3dd <read> 208: 83 c4 10 add $0x10,%esp 20b: 89 45 f0 mov %eax,-0x10(%ebp) if(cc < 1) 20e: 83 7d f0 00 cmpl $0x0,-0x10(%ebp) 212: 7e 33 jle 247 <gets+0x5e> break; buf[i++] = c; 214: 8b 45 f4 mov -0xc(%ebp),%eax 217: 8d 50 01 lea 0x1(%eax),%edx 21a: 89 55 f4 mov %edx,-0xc(%ebp) 21d: 89 c2 mov %eax,%edx 21f: 8b 45 08 mov 0x8(%ebp),%eax 222: 01 c2 add %eax,%edx 224: 0f b6 45 ef movzbl -0x11(%ebp),%eax 228: 88 02 mov %al,(%edx) if(c == '\n' || c == '\r') 22a: 0f b6 45 ef movzbl -0x11(%ebp),%eax 22e: 3c 0a cmp $0xa,%al 230: 74 16 je 248 <gets+0x5f> 232: 0f b6 45 ef movzbl -0x11(%ebp),%eax 236: 3c 0d cmp $0xd,%al 238: 74 0e je 248 <gets+0x5f> gets(char *buf, int max) { int i, cc; char c; for(i=0; i+1 < max; ){ 23a: 8b 45 f4 mov -0xc(%ebp),%eax 23d: 83 c0 01 add $0x1,%eax 240: 3b 45 0c cmp 0xc(%ebp),%eax 243: 7c b3 jl 1f8 <gets+0xf> 245: eb 01 jmp 248 <gets+0x5f> cc = read(0, &c, 1); if(cc < 1) break; 247: 90 nop buf[i++] = c; if(c == '\n' || c == '\r') break; } buf[i] = '\0'; 248: 8b 55 f4 mov -0xc(%ebp),%edx 24b: 8b 45 08 mov 0x8(%ebp),%eax 24e: 01 d0 add %edx,%eax 250: c6 00 00 movb $0x0,(%eax) return buf; 253: 8b 45 08 mov 0x8(%ebp),%eax } 256: c9 leave 257: c3 ret 00000258 <stat>: int stat(char *n, struct stat *st) { 258: 55 push %ebp 259: 89 e5 mov %esp,%ebp 25b: 83 ec 18 sub $0x18,%esp int fd; int r; fd = open(n, O_RDONLY); 25e: 83 ec 08 sub $0x8,%esp 261: 6a 00 push $0x0 263: ff 75 08 pushl 0x8(%ebp) 266: e8 9a 01 00 00 call 405 <open> 26b: 83 c4 10 add $0x10,%esp 26e: 89 45 f4 mov %eax,-0xc(%ebp) if(fd < 0) 271: 83 7d f4 00 cmpl $0x0,-0xc(%ebp) 275: 79 07 jns 27e <stat+0x26> return -1; 277: b8 ff ff ff ff mov $0xffffffff,%eax 27c: eb 25 jmp 2a3 <stat+0x4b> r = fstat(fd, st); 27e: 83 ec 08 sub $0x8,%esp 281: ff 75 0c pushl 0xc(%ebp) 284: ff 75 f4 pushl -0xc(%ebp) 287: e8 91 01 00 00 call 41d <fstat> 28c: 83 c4 10 add $0x10,%esp 28f: 89 45 f0 mov %eax,-0x10(%ebp) close(fd); 292: 83 ec 0c sub $0xc,%esp 295: ff 75 f4 pushl -0xc(%ebp) 298: e8 50 01 00 00 call 3ed <close> 29d: 83 c4 10 add $0x10,%esp return r; 2a0: 8b 45 f0 mov -0x10(%ebp),%eax } 2a3: c9 leave 2a4: c3 ret 000002a5 <atoi>: int atoi(const char *s) { 2a5: 55 push %ebp 2a6: 89 e5 mov %esp,%ebp 2a8: 83 ec 10 sub $0x10,%esp int n; n = 0; 2ab: c7 45 fc 00 00 00 00 movl $0x0,-0x4(%ebp) while('0' <= *s && *s <= '9') 2b2: eb 25 jmp 2d9 <atoi+0x34> n = n*10 + *s++ - '0'; 2b4: 8b 55 fc mov -0x4(%ebp),%edx 2b7: 89 d0 mov %edx,%eax 2b9: c1 e0 02 shl $0x2,%eax 2bc: 01 d0 add %edx,%eax 2be: 01 c0 add %eax,%eax 2c0: 89 c1 mov %eax,%ecx 2c2: 8b 45 08 mov 0x8(%ebp),%eax 2c5: 8d 50 01 lea 0x1(%eax),%edx 2c8: 89 55 08 mov %edx,0x8(%ebp) 2cb: 0f b6 00 movzbl (%eax),%eax 2ce: 0f be c0 movsbl %al,%eax 2d1: 01 c8 add %ecx,%eax 2d3: 83 e8 30 sub $0x30,%eax 2d6: 89 45 fc mov %eax,-0x4(%ebp) atoi(const char *s) { int n; n = 0; while('0' <= *s && *s <= '9') 2d9: 8b 45 08 mov 0x8(%ebp),%eax 2dc: 0f b6 00 movzbl (%eax),%eax 2df: 3c 2f cmp $0x2f,%al 2e1: 7e 0a jle 2ed <atoi+0x48> 2e3: 8b 45 08 mov 0x8(%ebp),%eax 2e6: 0f b6 00 movzbl (%eax),%eax 2e9: 3c 39 cmp $0x39,%al 2eb: 7e c7 jle 2b4 <atoi+0xf> n = n*10 + *s++ - '0'; return n; 2ed: 8b 45 fc mov -0x4(%ebp),%eax } 2f0: c9 leave 2f1: c3 ret 000002f2 <atoo>: int atoo(const char *s) { 2f2: 55 push %ebp 2f3: 89 e5 mov %esp,%ebp 2f5: 83 ec 10 sub $0x10,%esp int n, sign; n = 0; 2f8: c7 45 fc 00 00 00 00 movl $0x0,-0x4(%ebp) while (*s == ' ') 2ff: eb 04 jmp 305 <atoo+0x13> s++; 301: 83 45 08 01 addl $0x1,0x8(%ebp) int atoo(const char *s) { int n, sign; n = 0; while (*s == ' ') 305: 8b 45 08 mov 0x8(%ebp),%eax 308: 0f b6 00 movzbl (%eax),%eax 30b: 3c 20 cmp $0x20,%al 30d: 74 f2 je 301 <atoo+0xf> s++; sign = (*s == '-') ? -1 : 1; 30f: 8b 45 08 mov 0x8(%ebp),%eax 312: 0f b6 00 movzbl (%eax),%eax 315: 3c 2d cmp $0x2d,%al 317: 75 07 jne 320 <atoo+0x2e> 319: b8 ff ff ff ff mov $0xffffffff,%eax 31e: eb 05 jmp 325 <atoo+0x33> 320: b8 01 00 00 00 mov $0x1,%eax 325: 89 45 f8 mov %eax,-0x8(%ebp) if (*s == '+' || *s == '-') 328: 8b 45 08 mov 0x8(%ebp),%eax 32b: 0f b6 00 movzbl (%eax),%eax 32e: 3c 2b cmp $0x2b,%al 330: 74 0a je 33c <atoo+0x4a> 332: 8b 45 08 mov 0x8(%ebp),%eax 335: 0f b6 00 movzbl (%eax),%eax 338: 3c 2d cmp $0x2d,%al 33a: 75 27 jne 363 <atoo+0x71> s++; 33c: 83 45 08 01 addl $0x1,0x8(%ebp) while ('0' <= *s && *s <= '7') 340: eb 21 jmp 363 <atoo+0x71> n = n*8 + *s++ - '0'; 342: 8b 45 fc mov -0x4(%ebp),%eax 345: 8d 0c c5 00 00 00 00 lea 0x0(,%eax,8),%ecx 34c: 8b 45 08 mov 0x8(%ebp),%eax 34f: 8d 50 01 lea 0x1(%eax),%edx 352: 89 55 08 mov %edx,0x8(%ebp) 355: 0f b6 00 movzbl (%eax),%eax 358: 0f be c0 movsbl %al,%eax 35b: 01 c8 add %ecx,%eax 35d: 83 e8 30 sub $0x30,%eax 360: 89 45 fc mov %eax,-0x4(%ebp) while (*s == ' ') s++; sign = (*s == '-') ? -1 : 1; if (*s == '+' || *s == '-') s++; while ('0' <= *s && *s <= '7') 363: 8b 45 08 mov 0x8(%ebp),%eax 366: 0f b6 00 movzbl (%eax),%eax 369: 3c 2f cmp $0x2f,%al 36b: 7e 0a jle 377 <atoo+0x85> 36d: 8b 45 08 mov 0x8(%ebp),%eax 370: 0f b6 00 movzbl (%eax),%eax 373: 3c 37 cmp $0x37,%al 375: 7e cb jle 342 <atoo+0x50> n = n*8 + *s++ - '0'; return sign*n; 377: 8b 45 f8 mov -0x8(%ebp),%eax 37a: 0f af 45 fc imul -0x4(%ebp),%eax } 37e: c9 leave 37f: c3 ret 00000380 <memmove>: void* memmove(void *vdst, void *vsrc, int n) { 380: 55 push %ebp 381: 89 e5 mov %esp,%ebp 383: 83 ec 10 sub $0x10,%esp char *dst, *src; dst = vdst; 386: 8b 45 08 mov 0x8(%ebp),%eax 389: 89 45 fc mov %eax,-0x4(%ebp) src = vsrc; 38c: 8b 45 0c mov 0xc(%ebp),%eax 38f: 89 45 f8 mov %eax,-0x8(%ebp) while(n-- > 0) 392: eb 17 jmp 3ab <memmove+0x2b> *dst++ = *src++; 394: 8b 45 fc mov -0x4(%ebp),%eax 397: 8d 50 01 lea 0x1(%eax),%edx 39a: 89 55 fc mov %edx,-0x4(%ebp) 39d: 8b 55 f8 mov -0x8(%ebp),%edx 3a0: 8d 4a 01 lea 0x1(%edx),%ecx 3a3: 89 4d f8 mov %ecx,-0x8(%ebp) 3a6: 0f b6 12 movzbl (%edx),%edx 3a9: 88 10 mov %dl,(%eax) { char *dst, *src; dst = vdst; src = vsrc; while(n-- > 0) 3ab: 8b 45 10 mov 0x10(%ebp),%eax 3ae: 8d 50 ff lea -0x1(%eax),%edx 3b1: 89 55 10 mov %edx,0x10(%ebp) 3b4: 85 c0 test %eax,%eax 3b6: 7f dc jg 394 <memmove+0x14> *dst++ = *src++; return vdst; 3b8: 8b 45 08 mov 0x8(%ebp),%eax } 3bb: c9 leave 3bc: c3 ret 000003bd <fork>: name: \ movl $SYS_ ## name, %eax; \ int $T_SYSCALL; \ ret SYSCALL(fork) 3bd: b8 01 00 00 00 mov $0x1,%eax 3c2: cd 40 int $0x40 3c4: c3 ret 000003c5 <exit>: SYSCALL(exit) 3c5: b8 02 00 00 00 mov $0x2,%eax 3ca: cd 40 int $0x40 3cc: c3 ret 000003cd <wait>: SYSCALL(wait) 3cd: b8 03 00 00 00 mov $0x3,%eax 3d2: cd 40 int $0x40 3d4: c3 ret 000003d5 <pipe>: SYSCALL(pipe) 3d5: b8 04 00 00 00 mov $0x4,%eax 3da: cd 40 int $0x40 3dc: c3 ret 000003dd <read>: SYSCALL(read) 3dd: b8 05 00 00 00 mov $0x5,%eax 3e2: cd 40 int $0x40 3e4: c3 ret 000003e5 <write>: SYSCALL(write) 3e5: b8 10 00 00 00 mov $0x10,%eax 3ea: cd 40 int $0x40 3ec: c3 ret 000003ed <close>: SYSCALL(close) 3ed: b8 15 00 00 00 mov $0x15,%eax 3f2: cd 40 int $0x40 3f4: c3 ret 000003f5 <kill>: SYSCALL(kill) 3f5: b8 06 00 00 00 mov $0x6,%eax 3fa: cd 40 int $0x40 3fc: c3 ret 000003fd <exec>: SYSCALL(exec) 3fd: b8 07 00 00 00 mov $0x7,%eax 402: cd 40 int $0x40 404: c3 ret 00000405 <open>: SYSCALL(open) 405: b8 0f 00 00 00 mov $0xf,%eax 40a: cd 40 int $0x40 40c: c3 ret 0000040d <mknod>: SYSCALL(mknod) 40d: b8 11 00 00 00 mov $0x11,%eax 412: cd 40 int $0x40 414: c3 ret 00000415 <unlink>: SYSCALL(unlink) 415: b8 12 00 00 00 mov $0x12,%eax 41a: cd 40 int $0x40 41c: c3 ret 0000041d <fstat>: SYSCALL(fstat) 41d: b8 08 00 00 00 mov $0x8,%eax 422: cd 40 int $0x40 424: c3 ret 00000425 <link>: SYSCALL(link) 425: b8 13 00 00 00 mov $0x13,%eax 42a: cd 40 int $0x40 42c: c3 ret 0000042d <mkdir>: SYSCALL(mkdir) 42d: b8 14 00 00 00 mov $0x14,%eax 432: cd 40 int $0x40 434: c3 ret 00000435 <chdir>: SYSCALL(chdir) 435: b8 09 00 00 00 mov $0x9,%eax 43a: cd 40 int $0x40 43c: c3 ret 0000043d <dup>: SYSCALL(dup) 43d: b8 0a 00 00 00 mov $0xa,%eax 442: cd 40 int $0x40 444: c3 ret 00000445 <getpid>: SYSCALL(getpid) 445: b8 0b 00 00 00 mov $0xb,%eax 44a: cd 40 int $0x40 44c: c3 ret 0000044d <sbrk>: SYSCALL(sbrk) 44d: b8 0c 00 00 00 mov $0xc,%eax 452: cd 40 int $0x40 454: c3 ret 00000455 <sleep>: SYSCALL(sleep) 455: b8 0d 00 00 00 mov $0xd,%eax 45a: cd 40 int $0x40 45c: c3 ret 0000045d <uptime>: SYSCALL(uptime) 45d: b8 0e 00 00 00 mov $0xe,%eax 462: cd 40 int $0x40 464: c3 ret 00000465 <halt>: SYSCALL(halt) 465: b8 16 00 00 00 mov $0x16,%eax 46a: cd 40 int $0x40 46c: c3 ret 0000046d <date>: SYSCALL(date) 46d: b8 17 00 00 00 mov $0x17,%eax 472: cd 40 int $0x40 474: c3 ret 00000475 <getuid>: SYSCALL(getuid) 475: b8 18 00 00 00 mov $0x18,%eax 47a: cd 40 int $0x40 47c: c3 ret 0000047d <getgid>: SYSCALL(getgid) 47d: b8 19 00 00 00 mov $0x19,%eax 482: cd 40 int $0x40 484: c3 ret 00000485 <getppid>: SYSCALL(getppid) 485: b8 1a 00 00 00 mov $0x1a,%eax 48a: cd 40 int $0x40 48c: c3 ret 0000048d <setuid>: SYSCALL(setuid) 48d: b8 1b 00 00 00 mov $0x1b,%eax 492: cd 40 int $0x40 494: c3 ret 00000495 <setgid>: SYSCALL(setgid) 495: b8 1c 00 00 00 mov $0x1c,%eax 49a: cd 40 int $0x40 49c: c3 ret 0000049d <getprocs>: SYSCALL(getprocs) 49d: b8 1d 00 00 00 mov $0x1d,%eax 4a2: cd 40 int $0x40 4a4: c3 ret 000004a5 <setpriority>: SYSCALL(setpriority) 4a5: b8 1e 00 00 00 mov $0x1e,%eax 4aa: cd 40 int $0x40 4ac: c3 ret 000004ad <chmod>: SYSCALL(chmod) 4ad: b8 1f 00 00 00 mov $0x1f,%eax 4b2: cd 40 int $0x40 4b4: c3 ret 000004b5 <chown>: SYSCALL(chown) 4b5: b8 20 00 00 00 mov $0x20,%eax 4ba: cd 40 int $0x40 4bc: c3 ret 000004bd <chgrp>: SYSCALL(chgrp) 4bd: b8 21 00 00 00 mov $0x21,%eax 4c2: cd 40 int $0x40 4c4: c3 ret 000004c5 <putc>: #include "stat.h" #include "user.h" static void putc(int fd, char c) { 4c5: 55 push %ebp 4c6: 89 e5 mov %esp,%ebp 4c8: 83 ec 18 sub $0x18,%esp 4cb: 8b 45 0c mov 0xc(%ebp),%eax 4ce: 88 45 f4 mov %al,-0xc(%ebp) write(fd, &c, 1); 4d1: 83 ec 04 sub $0x4,%esp 4d4: 6a 01 push $0x1 4d6: 8d 45 f4 lea -0xc(%ebp),%eax 4d9: 50 push %eax 4da: ff 75 08 pushl 0x8(%ebp) 4dd: e8 03 ff ff ff call 3e5 <write> 4e2: 83 c4 10 add $0x10,%esp } 4e5: 90 nop 4e6: c9 leave 4e7: c3 ret 000004e8 <printint>: static void printint(int fd, int xx, int base, int sgn) { 4e8: 55 push %ebp 4e9: 89 e5 mov %esp,%ebp 4eb: 53 push %ebx 4ec: 83 ec 24 sub $0x24,%esp static char digits[] = "0123456789ABCDEF"; char buf[16]; int i, neg; uint x; neg = 0; 4ef: c7 45 f0 00 00 00 00 movl $0x0,-0x10(%ebp) if(sgn && xx < 0){ 4f6: 83 7d 14 00 cmpl $0x0,0x14(%ebp) 4fa: 74 17 je 513 <printint+0x2b> 4fc: 83 7d 0c 00 cmpl $0x0,0xc(%ebp) 500: 79 11 jns 513 <printint+0x2b> neg = 1; 502: c7 45 f0 01 00 00 00 movl $0x1,-0x10(%ebp) x = -xx; 509: 8b 45 0c mov 0xc(%ebp),%eax 50c: f7 d8 neg %eax 50e: 89 45 ec mov %eax,-0x14(%ebp) 511: eb 06 jmp 519 <printint+0x31> } else { x = xx; 513: 8b 45 0c mov 0xc(%ebp),%eax 516: 89 45 ec mov %eax,-0x14(%ebp) } i = 0; 519: c7 45 f4 00 00 00 00 movl $0x0,-0xc(%ebp) do{ buf[i++] = digits[x % base]; 520: 8b 4d f4 mov -0xc(%ebp),%ecx 523: 8d 41 01 lea 0x1(%ecx),%eax 526: 89 45 f4 mov %eax,-0xc(%ebp) 529: 8b 5d 10 mov 0x10(%ebp),%ebx 52c: 8b 45 ec mov -0x14(%ebp),%eax 52f: ba 00 00 00 00 mov $0x0,%edx 534: f7 f3 div %ebx 536: 89 d0 mov %edx,%eax 538: 0f b6 80 f4 0b 00 00 movzbl 0xbf4(%eax),%eax 53f: 88 44 0d dc mov %al,-0x24(%ebp,%ecx,1) }while((x /= base) != 0); 543: 8b 5d 10 mov 0x10(%ebp),%ebx 546: 8b 45 ec mov -0x14(%ebp),%eax 549: ba 00 00 00 00 mov $0x0,%edx 54e: f7 f3 div %ebx 550: 89 45 ec mov %eax,-0x14(%ebp) 553: 83 7d ec 00 cmpl $0x0,-0x14(%ebp) 557: 75 c7 jne 520 <printint+0x38> if(neg) 559: 83 7d f0 00 cmpl $0x0,-0x10(%ebp) 55d: 74 2d je 58c <printint+0xa4> buf[i++] = '-'; 55f: 8b 45 f4 mov -0xc(%ebp),%eax 562: 8d 50 01 lea 0x1(%eax),%edx 565: 89 55 f4 mov %edx,-0xc(%ebp) 568: c6 44 05 dc 2d movb $0x2d,-0x24(%ebp,%eax,1) while(--i >= 0) 56d: eb 1d jmp 58c <printint+0xa4> putc(fd, buf[i]); 56f: 8d 55 dc lea -0x24(%ebp),%edx 572: 8b 45 f4 mov -0xc(%ebp),%eax 575: 01 d0 add %edx,%eax 577: 0f b6 00 movzbl (%eax),%eax 57a: 0f be c0 movsbl %al,%eax 57d: 83 ec 08 sub $0x8,%esp 580: 50 push %eax 581: ff 75 08 pushl 0x8(%ebp) 584: e8 3c ff ff ff call 4c5 <putc> 589: 83 c4 10 add $0x10,%esp buf[i++] = digits[x % base]; }while((x /= base) != 0); if(neg) buf[i++] = '-'; while(--i >= 0) 58c: 83 6d f4 01 subl $0x1,-0xc(%ebp) 590: 83 7d f4 00 cmpl $0x0,-0xc(%ebp) 594: 79 d9 jns 56f <printint+0x87> putc(fd, buf[i]); } 596: 90 nop 597: 8b 5d fc mov -0x4(%ebp),%ebx 59a: c9 leave 59b: c3 ret 0000059c <printf>: // Print to the given fd. Only understands %d, %x, %p, %s. void printf(int fd, char *fmt, ...) { 59c: 55 push %ebp 59d: 89 e5 mov %esp,%ebp 59f: 83 ec 28 sub $0x28,%esp char *s; int c, i, state; uint *ap; state = 0; 5a2: c7 45 ec 00 00 00 00 movl $0x0,-0x14(%ebp) ap = (uint*)(void*)&fmt + 1; 5a9: 8d 45 0c lea 0xc(%ebp),%eax 5ac: 83 c0 04 add $0x4,%eax 5af: 89 45 e8 mov %eax,-0x18(%ebp) for(i = 0; fmt[i]; i++){ 5b2: c7 45 f0 00 00 00 00 movl $0x0,-0x10(%ebp) 5b9: e9 59 01 00 00 jmp 717 <printf+0x17b> c = fmt[i] & 0xff; 5be: 8b 55 0c mov 0xc(%ebp),%edx 5c1: 8b 45 f0 mov -0x10(%ebp),%eax 5c4: 01 d0 add %edx,%eax 5c6: 0f b6 00 movzbl (%eax),%eax 5c9: 0f be c0 movsbl %al,%eax 5cc: 25 ff 00 00 00 and $0xff,%eax 5d1: 89 45 e4 mov %eax,-0x1c(%ebp) if(state == 0){ 5d4: 83 7d ec 00 cmpl $0x0,-0x14(%ebp) 5d8: 75 2c jne 606 <printf+0x6a> if(c == '%'){ 5da: 83 7d e4 25 cmpl $0x25,-0x1c(%ebp) 5de: 75 0c jne 5ec <printf+0x50> state = '%'; 5e0: c7 45 ec 25 00 00 00 movl $0x25,-0x14(%ebp) 5e7: e9 27 01 00 00 jmp 713 <printf+0x177> } else { putc(fd, c); 5ec: 8b 45 e4 mov -0x1c(%ebp),%eax 5ef: 0f be c0 movsbl %al,%eax 5f2: 83 ec 08 sub $0x8,%esp 5f5: 50 push %eax 5f6: ff 75 08 pushl 0x8(%ebp) 5f9: e8 c7 fe ff ff call 4c5 <putc> 5fe: 83 c4 10 add $0x10,%esp 601: e9 0d 01 00 00 jmp 713 <printf+0x177> } } else if(state == '%'){ 606: 83 7d ec 25 cmpl $0x25,-0x14(%ebp) 60a: 0f 85 03 01 00 00 jne 713 <printf+0x177> if(c == 'd'){ 610: 83 7d e4 64 cmpl $0x64,-0x1c(%ebp) 614: 75 1e jne 634 <printf+0x98> printint(fd, *ap, 10, 1); 616: 8b 45 e8 mov -0x18(%ebp),%eax 619: 8b 00 mov (%eax),%eax 61b: 6a 01 push $0x1 61d: 6a 0a push $0xa 61f: 50 push %eax 620: ff 75 08 pushl 0x8(%ebp) 623: e8 c0 fe ff ff call 4e8 <printint> 628: 83 c4 10 add $0x10,%esp ap++; 62b: 83 45 e8 04 addl $0x4,-0x18(%ebp) 62f: e9 d8 00 00 00 jmp 70c <printf+0x170> } else if(c == 'x' || c == 'p'){ 634: 83 7d e4 78 cmpl $0x78,-0x1c(%ebp) 638: 74 06 je 640 <printf+0xa4> 63a: 83 7d e4 70 cmpl $0x70,-0x1c(%ebp) 63e: 75 1e jne 65e <printf+0xc2> printint(fd, *ap, 16, 0); 640: 8b 45 e8 mov -0x18(%ebp),%eax 643: 8b 00 mov (%eax),%eax 645: 6a 00 push $0x0 647: 6a 10 push $0x10 649: 50 push %eax 64a: ff 75 08 pushl 0x8(%ebp) 64d: e8 96 fe ff ff call 4e8 <printint> 652: 83 c4 10 add $0x10,%esp ap++; 655: 83 45 e8 04 addl $0x4,-0x18(%ebp) 659: e9 ae 00 00 00 jmp 70c <printf+0x170> } else if(c == 's'){ 65e: 83 7d e4 73 cmpl $0x73,-0x1c(%ebp) 662: 75 43 jne 6a7 <printf+0x10b> s = (char*)*ap; 664: 8b 45 e8 mov -0x18(%ebp),%eax 667: 8b 00 mov (%eax),%eax 669: 89 45 f4 mov %eax,-0xc(%ebp) ap++; 66c: 83 45 e8 04 addl $0x4,-0x18(%ebp) if(s == 0) 670: 83 7d f4 00 cmpl $0x0,-0xc(%ebp) 674: 75 25 jne 69b <printf+0xff> s = "(null)"; 676: c7 45 f4 82 09 00 00 movl $0x982,-0xc(%ebp) while(*s != 0){ 67d: eb 1c jmp 69b <printf+0xff> putc(fd, *s); 67f: 8b 45 f4 mov -0xc(%ebp),%eax 682: 0f b6 00 movzbl (%eax),%eax 685: 0f be c0 movsbl %al,%eax 688: 83 ec 08 sub $0x8,%esp 68b: 50 push %eax 68c: ff 75 08 pushl 0x8(%ebp) 68f: e8 31 fe ff ff call 4c5 <putc> 694: 83 c4 10 add $0x10,%esp s++; 697: 83 45 f4 01 addl $0x1,-0xc(%ebp) } else if(c == 's'){ s = (char*)*ap; ap++; if(s == 0) s = "(null)"; while(*s != 0){ 69b: 8b 45 f4 mov -0xc(%ebp),%eax 69e: 0f b6 00 movzbl (%eax),%eax 6a1: 84 c0 test %al,%al 6a3: 75 da jne 67f <printf+0xe3> 6a5: eb 65 jmp 70c <printf+0x170> putc(fd, *s); s++; } } else if(c == 'c'){ 6a7: 83 7d e4 63 cmpl $0x63,-0x1c(%ebp) 6ab: 75 1d jne 6ca <printf+0x12e> putc(fd, *ap); 6ad: 8b 45 e8 mov -0x18(%ebp),%eax 6b0: 8b 00 mov (%eax),%eax 6b2: 0f be c0 movsbl %al,%eax 6b5: 83 ec 08 sub $0x8,%esp 6b8: 50 push %eax 6b9: ff 75 08 pushl 0x8(%ebp) 6bc: e8 04 fe ff ff call 4c5 <putc> 6c1: 83 c4 10 add $0x10,%esp ap++; 6c4: 83 45 e8 04 addl $0x4,-0x18(%ebp) 6c8: eb 42 jmp 70c <printf+0x170> } else if(c == '%'){ 6ca: 83 7d e4 25 cmpl $0x25,-0x1c(%ebp) 6ce: 75 17 jne 6e7 <printf+0x14b> putc(fd, c); 6d0: 8b 45 e4 mov -0x1c(%ebp),%eax 6d3: 0f be c0 movsbl %al,%eax 6d6: 83 ec 08 sub $0x8,%esp 6d9: 50 push %eax 6da: ff 75 08 pushl 0x8(%ebp) 6dd: e8 e3 fd ff ff call 4c5 <putc> 6e2: 83 c4 10 add $0x10,%esp 6e5: eb 25 jmp 70c <printf+0x170> } else { // Unknown % sequence. Print it to draw attention. putc(fd, '%'); 6e7: 83 ec 08 sub $0x8,%esp 6ea: 6a 25 push $0x25 6ec: ff 75 08 pushl 0x8(%ebp) 6ef: e8 d1 fd ff ff call 4c5 <putc> 6f4: 83 c4 10 add $0x10,%esp putc(fd, c); 6f7: 8b 45 e4 mov -0x1c(%ebp),%eax 6fa: 0f be c0 movsbl %al,%eax 6fd: 83 ec 08 sub $0x8,%esp 700: 50 push %eax 701: ff 75 08 pushl 0x8(%ebp) 704: e8 bc fd ff ff call 4c5 <putc> 709: 83 c4 10 add $0x10,%esp } state = 0; 70c: c7 45 ec 00 00 00 00 movl $0x0,-0x14(%ebp) int c, i, state; uint *ap; state = 0; ap = (uint*)(void*)&fmt + 1; for(i = 0; fmt[i]; i++){ 713: 83 45 f0 01 addl $0x1,-0x10(%ebp) 717: 8b 55 0c mov 0xc(%ebp),%edx 71a: 8b 45 f0 mov -0x10(%ebp),%eax 71d: 01 d0 add %edx,%eax 71f: 0f b6 00 movzbl (%eax),%eax 722: 84 c0 test %al,%al 724: 0f 85 94 fe ff ff jne 5be <printf+0x22> putc(fd, c); } state = 0; } } } 72a: 90 nop 72b: c9 leave 72c: c3 ret 0000072d <free>: static Header base; static Header *freep; void free(void *ap) { 72d: 55 push %ebp 72e: 89 e5 mov %esp,%ebp 730: 83 ec 10 sub $0x10,%esp Header *bp, *p; bp = (Header*)ap - 1; 733: 8b 45 08 mov 0x8(%ebp),%eax 736: 83 e8 08 sub $0x8,%eax 739: 89 45 f8 mov %eax,-0x8(%ebp) for(p = freep; !(bp > p && bp < p->s.ptr); p = p->s.ptr) 73c: a1 10 0c 00 00 mov 0xc10,%eax 741: 89 45 fc mov %eax,-0x4(%ebp) 744: eb 24 jmp 76a <free+0x3d> if(p >= p->s.ptr && (bp > p || bp < p->s.ptr)) 746: 8b 45 fc mov -0x4(%ebp),%eax 749: 8b 00 mov (%eax),%eax 74b: 3b 45 fc cmp -0x4(%ebp),%eax 74e: 77 12 ja 762 <free+0x35> 750: 8b 45 f8 mov -0x8(%ebp),%eax 753: 3b 45 fc cmp -0x4(%ebp),%eax 756: 77 24 ja 77c <free+0x4f> 758: 8b 45 fc mov -0x4(%ebp),%eax 75b: 8b 00 mov (%eax),%eax 75d: 3b 45 f8 cmp -0x8(%ebp),%eax 760: 77 1a ja 77c <free+0x4f> free(void *ap) { Header *bp, *p; bp = (Header*)ap - 1; for(p = freep; !(bp > p && bp < p->s.ptr); p = p->s.ptr) 762: 8b 45 fc mov -0x4(%ebp),%eax 765: 8b 00 mov (%eax),%eax 767: 89 45 fc mov %eax,-0x4(%ebp) 76a: 8b 45 f8 mov -0x8(%ebp),%eax 76d: 3b 45 fc cmp -0x4(%ebp),%eax 770: 76 d4 jbe 746 <free+0x19> 772: 8b 45 fc mov -0x4(%ebp),%eax 775: 8b 00 mov (%eax),%eax 777: 3b 45 f8 cmp -0x8(%ebp),%eax 77a: 76 ca jbe 746 <free+0x19> if(p >= p->s.ptr && (bp > p || bp < p->s.ptr)) break; if(bp + bp->s.size == p->s.ptr){ 77c: 8b 45 f8 mov -0x8(%ebp),%eax 77f: 8b 40 04 mov 0x4(%eax),%eax 782: 8d 14 c5 00 00 00 00 lea 0x0(,%eax,8),%edx 789: 8b 45 f8 mov -0x8(%ebp),%eax 78c: 01 c2 add %eax,%edx 78e: 8b 45 fc mov -0x4(%ebp),%eax 791: 8b 00 mov (%eax),%eax 793: 39 c2 cmp %eax,%edx 795: 75 24 jne 7bb <free+0x8e> bp->s.size += p->s.ptr->s.size; 797: 8b 45 f8 mov -0x8(%ebp),%eax 79a: 8b 50 04 mov 0x4(%eax),%edx 79d: 8b 45 fc mov -0x4(%ebp),%eax 7a0: 8b 00 mov (%eax),%eax 7a2: 8b 40 04 mov 0x4(%eax),%eax 7a5: 01 c2 add %eax,%edx 7a7: 8b 45 f8 mov -0x8(%ebp),%eax 7aa: 89 50 04 mov %edx,0x4(%eax) bp->s.ptr = p->s.ptr->s.ptr; 7ad: 8b 45 fc mov -0x4(%ebp),%eax 7b0: 8b 00 mov (%eax),%eax 7b2: 8b 10 mov (%eax),%edx 7b4: 8b 45 f8 mov -0x8(%ebp),%eax 7b7: 89 10 mov %edx,(%eax) 7b9: eb 0a jmp 7c5 <free+0x98> } else bp->s.ptr = p->s.ptr; 7bb: 8b 45 fc mov -0x4(%ebp),%eax 7be: 8b 10 mov (%eax),%edx 7c0: 8b 45 f8 mov -0x8(%ebp),%eax 7c3: 89 10 mov %edx,(%eax) if(p + p->s.size == bp){ 7c5: 8b 45 fc mov -0x4(%ebp),%eax 7c8: 8b 40 04 mov 0x4(%eax),%eax 7cb: 8d 14 c5 00 00 00 00 lea 0x0(,%eax,8),%edx 7d2: 8b 45 fc mov -0x4(%ebp),%eax 7d5: 01 d0 add %edx,%eax 7d7: 3b 45 f8 cmp -0x8(%ebp),%eax 7da: 75 20 jne 7fc <free+0xcf> p->s.size += bp->s.size; 7dc: 8b 45 fc mov -0x4(%ebp),%eax 7df: 8b 50 04 mov 0x4(%eax),%edx 7e2: 8b 45 f8 mov -0x8(%ebp),%eax 7e5: 8b 40 04 mov 0x4(%eax),%eax 7e8: 01 c2 add %eax,%edx 7ea: 8b 45 fc mov -0x4(%ebp),%eax 7ed: 89 50 04 mov %edx,0x4(%eax) p->s.ptr = bp->s.ptr; 7f0: 8b 45 f8 mov -0x8(%ebp),%eax 7f3: 8b 10 mov (%eax),%edx 7f5: 8b 45 fc mov -0x4(%ebp),%eax 7f8: 89 10 mov %edx,(%eax) 7fa: eb 08 jmp 804 <free+0xd7> } else p->s.ptr = bp; 7fc: 8b 45 fc mov -0x4(%ebp),%eax 7ff: 8b 55 f8 mov -0x8(%ebp),%edx 802: 89 10 mov %edx,(%eax) freep = p; 804: 8b 45 fc mov -0x4(%ebp),%eax 807: a3 10 0c 00 00 mov %eax,0xc10 } 80c: 90 nop 80d: c9 leave 80e: c3 ret 0000080f <morecore>: static Header* morecore(uint nu) { 80f: 55 push %ebp 810: 89 e5 mov %esp,%ebp 812: 83 ec 18 sub $0x18,%esp char *p; Header *hp; if(nu < 4096) 815: 81 7d 08 ff 0f 00 00 cmpl $0xfff,0x8(%ebp) 81c: 77 07 ja 825 <morecore+0x16> nu = 4096; 81e: c7 45 08 00 10 00 00 movl $0x1000,0x8(%ebp) p = sbrk(nu * sizeof(Header)); 825: 8b 45 08 mov 0x8(%ebp),%eax 828: c1 e0 03 shl $0x3,%eax 82b: 83 ec 0c sub $0xc,%esp 82e: 50 push %eax 82f: e8 19 fc ff ff call 44d <sbrk> 834: 83 c4 10 add $0x10,%esp 837: 89 45 f4 mov %eax,-0xc(%ebp) if(p == (char*)-1) 83a: 83 7d f4 ff cmpl $0xffffffff,-0xc(%ebp) 83e: 75 07 jne 847 <morecore+0x38> return 0; 840: b8 00 00 00 00 mov $0x0,%eax 845: eb 26 jmp 86d <morecore+0x5e> hp = (Header*)p; 847: 8b 45 f4 mov -0xc(%ebp),%eax 84a: 89 45 f0 mov %eax,-0x10(%ebp) hp->s.size = nu; 84d: 8b 45 f0 mov -0x10(%ebp),%eax 850: 8b 55 08 mov 0x8(%ebp),%edx 853: 89 50 04 mov %edx,0x4(%eax) free((void*)(hp + 1)); 856: 8b 45 f0 mov -0x10(%ebp),%eax 859: 83 c0 08 add $0x8,%eax 85c: 83 ec 0c sub $0xc,%esp 85f: 50 push %eax 860: e8 c8 fe ff ff call 72d <free> 865: 83 c4 10 add $0x10,%esp return freep; 868: a1 10 0c 00 00 mov 0xc10,%eax } 86d: c9 leave 86e: c3 ret 0000086f <malloc>: void* malloc(uint nbytes) { 86f: 55 push %ebp 870: 89 e5 mov %esp,%ebp 872: 83 ec 18 sub $0x18,%esp Header *p, *prevp; uint nunits; nunits = (nbytes + sizeof(Header) - 1)/sizeof(Header) + 1; 875: 8b 45 08 mov 0x8(%ebp),%eax 878: 83 c0 07 add $0x7,%eax 87b: c1 e8 03 shr $0x3,%eax 87e: 83 c0 01 add $0x1,%eax 881: 89 45 ec mov %eax,-0x14(%ebp) if((prevp = freep) == 0){ 884: a1 10 0c 00 00 mov 0xc10,%eax 889: 89 45 f0 mov %eax,-0x10(%ebp) 88c: 83 7d f0 00 cmpl $0x0,-0x10(%ebp) 890: 75 23 jne 8b5 <malloc+0x46> base.s.ptr = freep = prevp = &base; 892: c7 45 f0 08 0c 00 00 movl $0xc08,-0x10(%ebp) 899: 8b 45 f0 mov -0x10(%ebp),%eax 89c: a3 10 0c 00 00 mov %eax,0xc10 8a1: a1 10 0c 00 00 mov 0xc10,%eax 8a6: a3 08 0c 00 00 mov %eax,0xc08 base.s.size = 0; 8ab: c7 05 0c 0c 00 00 00 movl $0x0,0xc0c 8b2: 00 00 00 } for(p = prevp->s.ptr; ; prevp = p, p = p->s.ptr){ 8b5: 8b 45 f0 mov -0x10(%ebp),%eax 8b8: 8b 00 mov (%eax),%eax 8ba: 89 45 f4 mov %eax,-0xc(%ebp) if(p->s.size >= nunits){ 8bd: 8b 45 f4 mov -0xc(%ebp),%eax 8c0: 8b 40 04 mov 0x4(%eax),%eax 8c3: 3b 45 ec cmp -0x14(%ebp),%eax 8c6: 72 4d jb 915 <malloc+0xa6> if(p->s.size == nunits) 8c8: 8b 45 f4 mov -0xc(%ebp),%eax 8cb: 8b 40 04 mov 0x4(%eax),%eax 8ce: 3b 45 ec cmp -0x14(%ebp),%eax 8d1: 75 0c jne 8df <malloc+0x70> prevp->s.ptr = p->s.ptr; 8d3: 8b 45 f4 mov -0xc(%ebp),%eax 8d6: 8b 10 mov (%eax),%edx 8d8: 8b 45 f0 mov -0x10(%ebp),%eax 8db: 89 10 mov %edx,(%eax) 8dd: eb 26 jmp 905 <malloc+0x96> else { p->s.size -= nunits; 8df: 8b 45 f4 mov -0xc(%ebp),%eax 8e2: 8b 40 04 mov 0x4(%eax),%eax 8e5: 2b 45 ec sub -0x14(%ebp),%eax 8e8: 89 c2 mov %eax,%edx 8ea: 8b 45 f4 mov -0xc(%ebp),%eax 8ed: 89 50 04 mov %edx,0x4(%eax) p += p->s.size; 8f0: 8b 45 f4 mov -0xc(%ebp),%eax 8f3: 8b 40 04 mov 0x4(%eax),%eax 8f6: c1 e0 03 shl $0x3,%eax 8f9: 01 45 f4 add %eax,-0xc(%ebp) p->s.size = nunits; 8fc: 8b 45 f4 mov -0xc(%ebp),%eax 8ff: 8b 55 ec mov -0x14(%ebp),%edx 902: 89 50 04 mov %edx,0x4(%eax) } freep = prevp; 905: 8b 45 f0 mov -0x10(%ebp),%eax 908: a3 10 0c 00 00 mov %eax,0xc10 return (void*)(p + 1); 90d: 8b 45 f4 mov -0xc(%ebp),%eax 910: 83 c0 08 add $0x8,%eax 913: eb 3b jmp 950 <malloc+0xe1> } if(p == freep) 915: a1 10 0c 00 00 mov 0xc10,%eax 91a: 39 45 f4 cmp %eax,-0xc(%ebp) 91d: 75 1e jne 93d <malloc+0xce> if((p = morecore(nunits)) == 0) 91f: 83 ec 0c sub $0xc,%esp 922: ff 75 ec pushl -0x14(%ebp) 925: e8 e5 fe ff ff call 80f <morecore> 92a: 83 c4 10 add $0x10,%esp 92d: 89 45 f4 mov %eax,-0xc(%ebp) 930: 83 7d f4 00 cmpl $0x0,-0xc(%ebp) 934: 75 07 jne 93d <malloc+0xce> return 0; 936: b8 00 00 00 00 mov $0x0,%eax 93b: eb 13 jmp 950 <malloc+0xe1> nunits = (nbytes + sizeof(Header) - 1)/sizeof(Header) + 1; if((prevp = freep) == 0){ base.s.ptr = freep = prevp = &base; base.s.size = 0; } for(p = prevp->s.ptr; ; prevp = p, p = p->s.ptr){ 93d: 8b 45 f4 mov -0xc(%ebp),%eax 940: 89 45 f0 mov %eax,-0x10(%ebp) 943: 8b 45 f4 mov -0xc(%ebp),%eax 946: 8b 00 mov (%eax),%eax 948: 89 45 f4 mov %eax,-0xc(%ebp) return (void*)(p + 1); } if(p == freep) if((p = morecore(nunits)) == 0) return 0; } 94b: e9 6d ff ff ff jmp 8bd <malloc+0x4e> } 950: c9 leave 951: c3 ret
34.399713
60
0.421078
[ "MIT-0" ]
LongLeonardoLe/xv6
chmod.asm
48,022
Assembly
4
; ; Startup for Colecovision ; ; 1k of memory module coleco_crt0 ;-------- ; Include zcc_opt.def to find out some info ;-------- defc crt0 = 1 INCLUDE "zcc_opt.def" ;-------- ; Some scope definitions ;-------- EXTERN _main ;main() is always external to crt0 code PUBLIC cleanup ;jp'd to by exit() PUBLIC l_dcal ;jp(hl) PUBLIC msxbios EXTERN msx_set_mode EXTERN asm_im1_handler EXTERN nmi_vectors EXTERN asm_interrupt_handler EXTERN __vdp_enable_status EXTERN VDP_STATUS defc CONSOLE_COLUMNS = 32 defc CONSOLE_ROWS = 24 defc CRT_ORG_BSS = 0x7000 defc CRT_ORG_CODE = 0x8000 defc TAR__fputc_cons_generic = 1 defc TAR__no_ansifont = 1 defc TAR__clib_exit_stack_size = 0 defc TAR__register_sp = 0x7400 defc CRT_KEY_DEL = 127 defc __CPU_CLOCK = 3579545 INCLUDE "crt/classic/crt_rules.inc" org CRT_ORG_CODE defb 0x55, 0xaa ;Title screen + 12 second delay, swap to not slip it defw 0 ;Sprite name table for BIOS defw 0 ;Sprite order table for BIOS defw 0 ;Buffer for BIOS defw 0 ;Controller map for bios defw program ;Where to start execution from jp restart08 jp restart10 jp restart18 jp restart20 jp restart28 jp restart30 jp asm_im1_handler ;Maskable interrupt jp nmi_int ;NMI defm " / / " ;TODO: Make it customisable ; Restart routines, nothing sorted yet restart08: restart10: restart18: restart20: restart28: restart30: ret program: INCLUDE "crt/classic/crt_init_sp.asm" INCLUDE "crt/classic/crt_init_atexit.asm" call crt0_init_bss ld (exitsp),sp ld hl,2 call msx_set_mode im 1 ei ; Optional definition for auto MALLOC init ; it assumes we have free space between the end of ; the compiled program and the stack pointer IF DEFINED_USING_amalloc INCLUDE "crt/classic/crt_init_amalloc.asm" ENDIF call _main cleanup: rst 0 ;Restart when main finishes nmi_int: push af push hl ld a,(__vdp_enable_status) rlca jr c,no_vbl in a,(VDP_STATUS) no_vbl: ld hl,nmi_vectors call asm_interrupt_handler pop hl pop af retn ; Safe BIOS call msxbios: push ix ret l_dcal: jp (hl) ;Used for function pointer calls INCLUDE "crt/classic/crt_runtime_selection.asm" defc __crt_org_bss = CRT_ORG_BSS IF DEFINED_CRT_MODEL defc __crt_model = CRT_MODEL ELSE defc __crt_model = 1 ENDIF INCLUDE "crt/classic/crt_section.asm"
19.960938
73
0.677886
[ "BSD-2-Clause" ]
ByteProject/Puddle-BuildTools
FictionTools/z88dk/lib/target/coleco/classic/coleco_crt0.asm
2,555
Assembly
4
; A064754: a(n) = n*8^n - 1. ; 7,127,1535,16383,163839,1572863,14680063,134217727,1207959551,10737418239,94489280511,824633720831,7146825580543,61572651155455,527765581332479,4503599627370495,38280596832649215,324259173170675711,2738188573441261567,23058430092136939519,193690812773950291967,1623313478486440542207,13576803638250229989375,113336795588871485128703,944473296573929042739199,7858017827495089635590143,65281994259189975434133503,541598767187353870268366847,4487532642409503496509325311,37138201178561408246973726719 add $0,1 mov $2,8 pow $2,$0 mul $0,$2 sub $0,1
64.111111
499
0.87695
[ "Apache-2.0" ]
ckrause/loda-programs
oeis/064/A064754.asm
577
Assembly
4
ViridianCity_h: db OVERWORLD ; tileset db VIRIDIAN_CITY_HEIGHT, VIRIDIAN_CITY_WIDTH ; dimensions (y, x) dw ViridianCityBlocks, ViridianCityTextPointers, ViridianCityScript ; blocks, texts, scripts db NORTH | SOUTH | WEST ; connections NORTH_MAP_CONNECTION VIRIDIAN_CITY, ROUTE_2, 5, 0, Route2Blocks SOUTH_MAP_CONNECTION VIRIDIAN_CITY, ROUTE_1, 5, 0, Route1Blocks, 1 WEST_MAP_CONNECTION VIRIDIAN_CITY, ROUTE_22, 4, 0, Route22Blocks dw ViridianCityObject ; objects
47.1
93
0.813163
[ "MIT" ]
ETDV-TheVoid/pokemon-rgb-enhanced
data/mapHeaders/viridiancity.asm
471
Assembly
4
org $8000 main call PTxPlay loop halt call PTxPlay+5 ld a,$7f in a,($fe) rra jr c,loop call PTxPlay+8 ret org $c000 PTxPlay incbin PTxPlay incbin cycler.pt3 end main
9.368421
22
0.707865
[ "Unlicense" ]
juhovh/spectrum
player.asm
178
Assembly
4
; A115241: Square array read by antidiagonals: T(n,p) is the number of linearly independent, homogeneous harmonic polynomials of degree n in p variables (n,p>=1). ; Submitted by Christian Krause ; 1,2,0,3,2,0,4,5,2,0,5,9,7,2,0,6,14,16,9,2,0,7,20,30,25,11,2,0,8,27,50,55,36,13,2,0,9,35,77,105,91,49,15,2,0,10,44,112,182,196,140,64,17,2,0,11,54,156,294,378,336,204,81,19,2,0,12,65,210,450,672,714,540,285,100 sub $0,1 mov $2,1 lpb $0 add $2,1 sub $0,$2 add $1,1 lpe bin $1,$0 add $0,1 bin $2,$0 add $2,$1 mov $0,$2
30.588235
211
0.661538
[ "Apache-2.0" ]
ckrause/loda-programs
oeis/115/A115241.asm
520
Assembly
4
; A037236: Expansion of (3+2*x^2)/(1-x)^4. ; 3,12,32,68,125,208,322,472,663,900,1188,1532,1937,2408,2950,3568,4267,5052,5928,6900,7973,9152,10442,11848,13375,15028,16812,18732,20793,23000,25358,27872,30547,33388 mov $4,$0 add $0,1 mov $1,$0 mul $1,2 add $4,1 mov $3,$4 lpb $0 sub $0,1 add $2,$3 add $1,$2 add $3,2 lpe
20.4375
168
0.657492
[ "Apache-2.0" ]
jmorken/loda
programs/oeis/037/A037236.asm
327
Assembly
4
;============================================================== ; Example 5-3 ; Opening top and bottom borders with self modifying interrupt ;============================================================== !cpu 6502 !to "build/example5-4.prg",cbm * = $1000 ;-------------------------------------------------------------- ; CONFIGURE RASTER IRQ ;-------------------------------------------------------------- sei ; disable interrupts lda #%01111111 sta $dc0d ; turn off CIA1 interrupts sta $dd0d ; turn off CIA2 interrupts lda #%00000001 sta $d01a ; turn on raster interrupts lda #<rasterirq sta $0314 lda #>rasterirq sta $0315 lda #$1a sta $d011 ; lines 1-255, 25 row mode lda #$fa sta $d012 ; irq on line 250 cli ; re-enable interrupts ;-------------------------------------------------------------- ; MAIN LOOP ;-------------------------------------------------------------- loop jmp loop ;-------------------------------------------------------------- ; RASTER IRQ ;-------------------------------------------------------------- rasterirq lda $d011 and #$f7 ; and #$f7 opcodes: 29 f7 ; ora #$08 opcodes: 09 08 sta $d011 lda #$fc sta $d012 ; irq on line 252 ($fc) ; then again on line 250 ($fa) lda #$20 eor rasterirq+3 sta rasterirq+3 ; switch and & ora opcodes lda #$ff eor rasterirq+4 sta rasterirq+4 ; switch and & ora values lda #$06 eor rasterirq+9 sta rasterirq+9 ; switch raster irq line asl $d019 ; ack interrupt, re-enables it pla tay pla tax pla rti
20.415584
63
0.434478
[ "Unlicense" ]
xabinapal/c64-examples
example5/example5-3.asm
1,572
Assembly
4
; A106615: a(n) = numerator of n/(n+14). ; 0,1,1,3,2,5,3,1,4,9,5,11,6,13,1,15,8,17,9,19,10,3,11,23,12,25,13,27,2,29,15,31,16,33,17,5,18,37,19,39,20,41,3,43,22,45,23,47,24,7,25,51,26,53,27,55,4,57,29,59,30,61,31,9,32,65,33,67,34,69,5,71,36,73,37,75,38,11,39,79,40,81,41,83,6,85,43,87,44,89,45,13,46,93,47,95,48,97,7,99 mov $1,$0 gcd $1,14 div $0,$1
49.857143
276
0.616046
[ "Apache-2.0" ]
ckrause/cm
programs/oeis/106/A106615.asm
349
Assembly
4
.global s_prepare_buffers s_prepare_buffers: push %r12 push %r14 push %r15 push %r9 push %rbx push %rcx push %rdi push %rsi lea addresses_WT_ht+0x5a3e, %rsi lea addresses_WC_ht+0x1ca3e, %rdi nop nop nop nop nop cmp %r9, %r9 mov $75, %rcx rep movsw nop nop cmp $16614, %r15 lea addresses_WC_ht+0x148e, %r14 nop nop xor $9981, %r12 movl $0x61626364, (%r14) nop nop nop add $56422, %r12 lea addresses_WC_ht+0xa6be, %rcx clflush (%rcx) nop add %rsi, %rsi mov (%rcx), %r9w nop nop nop dec %r15 lea addresses_UC_ht+0xa2be, %r9 nop nop nop dec %rsi movb (%r9), %r12b nop nop nop nop sub $41189, %rcx lea addresses_WT_ht+0x1283e, %r14 nop nop nop nop inc %rdi mov $0x6162636465666768, %rcx movq %rcx, %xmm0 and $0xffffffffffffffc0, %r14 vmovntdq %ymm0, (%r14) nop nop nop nop nop and %rsi, %rsi lea addresses_A_ht+0x97ec, %r9 nop nop nop xor %r15, %r15 movl $0x61626364, (%r9) nop nop nop nop dec %r12 lea addresses_D_ht+0x963e, %rsi lea addresses_A_ht+0xe3be, %rdi clflush (%rsi) nop nop nop dec %rbx mov $125, %rcx rep movsb nop cmp %r12, %r12 lea addresses_WC_ht+0x1e, %r12 nop add $4066, %rsi mov (%r12), %ebx nop nop add %r15, %r15 pop %rsi pop %rdi pop %rcx pop %rbx pop %r9 pop %r15 pop %r14 pop %r12 ret .global s_faulty_load s_faulty_load: push %r13 push %r15 push %r8 push %rax push %rbp push %rcx push %rdx // Store lea addresses_D+0x9e3c, %rbp nop inc %rcx movw $0x5152, (%rbp) nop nop inc %rax // Store lea addresses_PSE+0x1fa3e, %r13 nop nop nop sub %rdx, %rdx movw $0x5152, (%r13) nop nop add $40602, %r8 // Store lea addresses_D+0xadfe, %rdx nop nop nop nop nop inc %rcx movw $0x5152, (%rdx) nop nop nop nop nop inc %r13 // Store lea addresses_A+0x12abe, %r13 cmp $27390, %rdx mov $0x5152535455565758, %r15 movq %r15, (%r13) nop nop nop nop nop inc %r13 // Faulty Load lea addresses_PSE+0x1fa3e, %rax nop nop nop nop and %rbp, %rbp mov (%rax), %edx lea oracles, %rbp and $0xff, %rdx shlq $12, %rdx mov (%rbp,%rdx,1), %rdx pop %rdx pop %rcx pop %rbp pop %rax pop %r8 pop %r15 pop %r13 ret /* <gen_faulty_load> [REF] {'src': {'type': 'addresses_PSE', 'same': False, 'size': 2, 'congruent': 0, 'NT': False, 'AVXalign': False}, 'OP': 'LOAD'} {'dst': {'type': 'addresses_D', 'same': False, 'size': 2, 'congruent': 1, 'NT': False, 'AVXalign': False}, 'OP': 'STOR'} {'dst': {'type': 'addresses_PSE', 'same': True, 'size': 2, 'congruent': 0, 'NT': False, 'AVXalign': False}, 'OP': 'STOR'} {'dst': {'type': 'addresses_D', 'same': False, 'size': 2, 'congruent': 5, 'NT': False, 'AVXalign': False}, 'OP': 'STOR'} {'dst': {'type': 'addresses_A', 'same': False, 'size': 8, 'congruent': 3, 'NT': False, 'AVXalign': False}, 'OP': 'STOR'} [Faulty Load] {'src': {'type': 'addresses_PSE', 'same': True, 'size': 4, 'congruent': 0, 'NT': False, 'AVXalign': False}, 'OP': 'LOAD'} <gen_prepare_buffer> {'src': {'type': 'addresses_WT_ht', 'congruent': 9, 'same': False}, 'dst': {'type': 'addresses_WC_ht', 'congruent': 10, 'same': False}, 'OP': 'REPM'} {'dst': {'type': 'addresses_WC_ht', 'same': False, 'size': 4, 'congruent': 4, 'NT': True, 'AVXalign': False}, 'OP': 'STOR'} {'src': {'type': 'addresses_WC_ht', 'same': False, 'size': 2, 'congruent': 7, 'NT': False, 'AVXalign': False}, 'OP': 'LOAD'} {'src': {'type': 'addresses_UC_ht', 'same': False, 'size': 1, 'congruent': 4, 'NT': False, 'AVXalign': False}, 'OP': 'LOAD'} {'dst': {'type': 'addresses_WT_ht', 'same': False, 'size': 32, 'congruent': 8, 'NT': True, 'AVXalign': False}, 'OP': 'STOR'} {'dst': {'type': 'addresses_A_ht', 'same': False, 'size': 4, 'congruent': 0, 'NT': False, 'AVXalign': False}, 'OP': 'STOR'} {'src': {'type': 'addresses_D_ht', 'congruent': 8, 'same': False}, 'dst': {'type': 'addresses_A_ht', 'congruent': 7, 'same': False}, 'OP': 'REPM'} {'src': {'type': 'addresses_WC_ht', 'same': False, 'size': 4, 'congruent': 4, 'NT': False, 'AVXalign': False}, 'OP': 'LOAD'} {'52': 21829} 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 52 */
32.827751
2,999
0.655007
[ "MIT" ]
danielmgmi/medusa
Transynther/_processed/NONE/_xt_sm_/i3-7100_9_0x84_notsx.log_21829_1967.asm
6,861
Assembly
4
.text # To test the MEM_to_EX hazard # To test the WB_to_EX hazard # If the hazard is handled correctly, $a2 should be 8. Otherwise, it may be -1 or something else addi $v0, $zero, 1 addiu $v1, $zero, 2 addiu $a0, $zero, 20 addiu $a1, $zero, 16 addi $t1, $zero, 1 # meaningless instruction, just to avoid other hazards addi $t1, $zero, 1 # meaningless instruction, just to avoid other hazards addi $t1, $zero, 1 # meaningless instruction, just to avoid other hazards sub $v0, $a0, $a1 # 4 in $v0 sub $v1, $a1, $a0 # -4 in $v1 sub $a2, $v1, $v0 # -8 in $a2 (if the forward succeeds, $a2 should be 8) sw $a2, 0($zero) # store $a2, which should be -8, in DATA_MEM[0] # We only need to care about the value in $a2, so we just store $a2
44.176471
96
0.668442
[ "MIT" ]
QuarticCat/qc-mips-cpu
tests/mips2.asm
751
Assembly
4
; c64 input.asm .export _UpdateInput .exportzp _ControllerButtons .exportzp _ControllerButtonsPressed .include "c64.asm" .segment "ZEROPAGE" _ControllerButtons: .res 2 _ControllerButtonsPrevious: .res 2 _ControllerButtonsPressed: .res 2 .segment "CODE" _UpdateInput: ldx #0 @joystickLoop: lda _ControllerButtons,x sta _ControllerButtonsPrevious,x lda CIA1_DDRA,x tay cli lda #$00 sta CIA1_DDRA,x lda CIA1_PRA,x eor #$ff and #%00011111 sta _ControllerButtons,x tya sta CIA1_DDRA,x sei lda _ControllerButtonsPrevious,x eor #$ff and _ControllerButtons,x sta _ControllerButtonsPressed,x inx cpx #2 ; Number of joysticks. bne @joystickLoop rts
12.62069
35
0.713115
[ "MIT" ]
puzzud/retroleague
src/c64/input.asm
732
Assembly
4
;***************************************************************************** ;* Copyright (C) 2013-2017 MulticoreWare, Inc ;* ;* Authors: Praveen Kumar Tiwari <[email protected]> ;* Min Chen <[email protected]> ;* ;* This program is free software; you can redistribute it and/or modify ;* it under the terms of the GNU General Public License as published by ;* the Free Software Foundation; either version 2 of the License, or ;* (at your option) any later version. ;* ;* This program is distributed in the hope that it will be useful, ;* but WITHOUT ANY WARRANTY; without even the implied warranty of ;* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the ;* GNU General Public License for more details. ;* ;* You should have received a copy of the GNU General Public License ;* along with this program; if not, write to the Free Software ;* Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA 02111, USA. ;* ;* This program is also available under a commercial proprietary license. ;* For more information, contact us at license @ x265.com. ;*****************************************************************************/ %include "x86inc.asm" %include "x86util.asm" SECTION_RODATA 32 SECTION .text cextern pw_pixel_max ;----------------------------------------------------------------------------- ; void pixel_add_ps_4x4(pixel *dest, intptr_t destride, pixel *src0, int16_t *scr1, intptr_t srcStride0, intptr_t srcStride1) ;----------------------------------------------------------------------------- %if HIGH_BIT_DEPTH INIT_XMM sse2 cglobal pixel_add_ps_4x4, 6, 6, 6, dest, destride, src0, scr1, srcStride0, srcStride1 mova m1, [pw_pixel_max] pxor m0, m0 add r4, r4 add r5, r5 add r1, r1 movh m2, [r2] movhps m2, [r2 + r4] movh m3, [r3] movhps m3, [r3 + r5] lea r2, [r2 + r4 * 2] lea r3, [r3 + r5 * 2] movh m4, [r2] movhps m4, [r2 + r4] movh m5, [r3] movhps m5, [r3 + r5] paddw m2, m3 paddw m4, m5 CLIPW2 m2, m4, m0, m1 movh [r0], m2 movhps [r0 + r1], m2 lea r0, [r0 + r1 * 2] movh [r0], m4 movhps [r0 + r1], m4 RET %else INIT_XMM sse4 cglobal pixel_add_ps_4x4, 6, 6, 8, dest, destride, src0, scr1, srcStride0, srcStride1 add r5, r5 pmovzxbw m0, [r2] pmovzxbw m2, [r2 + r4] movh m1, [r3] movh m3, [r3 + r5] lea r2, [r2 + r4 * 2] lea r3, [r3 + r5 * 2] pmovzxbw m4, [r2] pmovzxbw m6, [r2 + r4] movh m5, [r3] movh m7, [r3 + r5] paddw m0, m1 paddw m2, m3 paddw m4, m5 paddw m6, m7 packuswb m0, m0 packuswb m2, m2 packuswb m4, m4 packuswb m6, m6 movd [r0], m0 movd [r0 + r1], m2 lea r0, [r0 + r1 * 2] movd [r0], m4 movd [r0 + r1], m6 RET %endif ;----------------------------------------------------------------------------- ; void pixel_add_ps_4x%2(pixel *dest, intptr_t destride, pixel *src0, int16_t *scr1, intptr_t srcStride0, intptr_t srcStride1) ;----------------------------------------------------------------------------- %macro PIXEL_ADD_PS_W4_H4 2 %if HIGH_BIT_DEPTH INIT_XMM sse2 cglobal pixel_add_ps_4x%2, 6, 7, 6, dest, destride, src0, scr1, srcStride0, srcStride1 mova m1, [pw_pixel_max] pxor m0, m0 mov r6d, %2/4 add r4, r4 add r5, r5 add r1, r1 .loop: movh m2, [r2] movhps m2, [r2 + r4] movh m3, [r3] movhps m3, [r3 + r5] lea r2, [r2 + r4 * 2] lea r3, [r3 + r5 * 2] movh m4, [r2] movhps m4, [r2 + r4] movh m5, [r3] movhps m5, [r3 + r5] dec r6d lea r2, [r2 + r4 * 2] lea r3, [r3 + r5 * 2] paddw m2, m3 paddw m4, m5 CLIPW2 m2, m4, m0, m1 movh [r0], m2 movhps [r0 + r1], m2 lea r0, [r0 + r1 * 2] movh [r0], m4 movhps [r0 + r1], m4 lea r0, [r0 + r1 * 2] jnz .loop RET %else INIT_XMM sse4 cglobal pixel_add_ps_4x%2, 6, 7, 8, dest, destride, src0, scr1, srcStride0, srcStride1 mov r6d, %2/4 add r5, r5 .loop: pmovzxbw m0, [r2] pmovzxbw m2, [r2 + r4] movh m1, [r3] movh m3, [r3 + r5] lea r2, [r2 + r4 * 2] lea r3, [r3 + r5 * 2] pmovzxbw m4, [r2] pmovzxbw m6, [r2 + r4] movh m5, [r3] movh m7, [r3 + r5] dec r6d lea r2, [r2 + r4 * 2] lea r3, [r3 + r5 * 2] paddw m0, m1 paddw m2, m3 paddw m4, m5 paddw m6, m7 packuswb m0, m0 packuswb m2, m2 packuswb m4, m4 packuswb m6, m6 movd [r0], m0 movd [r0 + r1], m2 lea r0, [r0 + r1 * 2] movd [r0], m4 movd [r0 + r1], m6 lea r0, [r0 + r1 * 2] jnz .loop RET %endif %endmacro PIXEL_ADD_PS_W4_H4 4, 8 ;----------------------------------------------------------------------------- ; void pixel_add_ps_8x%2(pixel *dest, intptr_t destride, pixel *src0, int16_t *scr1, intptr_t srcStride0, intptr_t srcStride1) ;----------------------------------------------------------------------------- %macro PIXEL_ADD_PS_W8_H4 2 %if HIGH_BIT_DEPTH INIT_XMM sse2 cglobal pixel_add_ps_8x%2, 6, 7, 6, dest, destride, src0, scr1, srcStride0, srcStride1 mova m5, [pw_pixel_max] pxor m4, m4 mov r6d, %2/4 add r4, r4 add r5, r5 add r1, r1 .loop: movu m0, [r2] movu m2, [r2 + r4] movu m1, [r3] movu m3, [r3 + r5] lea r2, [r2 + r4 * 2] lea r3, [r3 + r5 * 2] paddw m0, m1 paddw m2, m3 CLIPW2 m0, m2, m4, m5 movu [r0], m0 movu [r0 + r1], m2 movu m0, [r2] movu m2, [r2 + r4] movu m1, [r3] movu m3, [r3 + r5] dec r6d lea r0, [r0 + r1 * 2] lea r2, [r2 + r4 * 2] lea r3, [r3 + r5 * 2] paddw m0, m1 paddw m2, m3 CLIPW2 m0, m2, m4, m5 movu [r0], m0 movu [r0 + r1], m2 lea r0, [r0 + r1 * 2] jnz .loop RET %else INIT_XMM sse4 cglobal pixel_add_ps_8x%2, 6, 7, 8, dest, destride, src0, scr1, srcStride0, srcStride1 mov r6d, %2/4 add r5, r5 .loop: pmovzxbw m0, [r2] pmovzxbw m2, [r2 + r4] movu m1, [r3] movu m3, [r3 + r5] lea r2, [r2 + r4 * 2] lea r3, [r3 + r5 * 2] pmovzxbw m4, [r2] pmovzxbw m6, [r2 + r4] movu m5, [r3] movu m7, [r3 + r5] dec r6d lea r2, [r2 + r4 * 2] lea r3, [r3 + r5 * 2] paddw m0, m1 paddw m2, m3 paddw m4, m5 paddw m6, m7 packuswb m0, m0 packuswb m2, m2 packuswb m4, m4 packuswb m6, m6 movh [r0], m0 movh [r0 + r1], m2 lea r0, [r0 + r1 * 2] movh [r0], m4 movh [r0 + r1], m6 lea r0, [r0 + r1 * 2] jnz .loop RET %endif %endmacro PIXEL_ADD_PS_W8_H4 8, 8 PIXEL_ADD_PS_W8_H4 8, 16 ;----------------------------------------------------------------------------- ; void pixel_add_ps_16x%2(pixel *dest, intptr_t destride, pixel *src0, int16_t *scr1, intptr_t srcStride0, intptr_t srcStride1) ;----------------------------------------------------------------------------- %macro PIXEL_ADD_PS_W16_H4 2 %if HIGH_BIT_DEPTH INIT_XMM sse2 cglobal pixel_add_ps_16x%2, 6, 7, 6, dest, destride, src0, scr1, srcStride0, srcStride1 mova m5, [pw_pixel_max] pxor m4, m4 mov r6d, %2/4 add r4, r4 add r5, r5 add r1, r1 .loop: movu m0, [r2] movu m2, [r2 + 16] movu m1, [r3] movu m3, [r3 + 16] paddw m0, m1 paddw m2, m3 CLIPW2 m0, m2, m4, m5 movu [r0], m0 movu [r0 + 16], m2 movu m0, [r2 + r4] movu m2, [r2 + r4 + 16] movu m1, [r3 + r5] movu m3, [r3 + r5 + 16] lea r2, [r2 + r4 * 2] lea r3, [r3 + r5 * 2] paddw m0, m1 paddw m2, m3 CLIPW2 m0, m2, m4, m5 movu [r0 + r1], m0 movu [r0 + r1 + 16], m2 movu m0, [r2] movu m2, [r2 + 16] movu m1, [r3] movu m3, [r3 + 16] lea r0, [r0 + r1 * 2] paddw m0, m1 paddw m2, m3 CLIPW2 m0, m2, m4, m5 movu [r0], m0 movu [r0 + 16], m2 movu m0, [r2 + r4] movu m2, [r2 + r4 + 16] movu m1, [r3 + r5] movu m3, [r3 + r5 + 16] dec r6d lea r2, [r2 + r4 * 2] lea r3, [r3 + r5 * 2] paddw m0, m1 paddw m2, m3 CLIPW2 m0, m2, m4, m5 movu [r0 + r1], m0 movu [r0 + r1 + 16], m2 lea r0, [r0 + r1 * 2] jnz .loop RET %else INIT_XMM sse4 cglobal pixel_add_ps_16x%2, 6, 7, 8, dest, destride, src0, scr1, srcStride0, srcStride1 mov r6d, %2/4 add r5, r5 .loop: pmovzxbw m0, [r2] pmovzxbw m1, [r2 + 8] pmovzxbw m4, [r2 + r4] pmovzxbw m5, [r2 + r4 + 8] movu m2, [r3] movu m3, [r3 + 16] movu m6, [r3 + r5] movu m7, [r3 + r5 + 16] lea r2, [r2 + r4 * 2] lea r3, [r3 + r5 * 2] paddw m0, m2 paddw m1, m3 paddw m4, m6 paddw m5, m7 packuswb m0, m1 packuswb m4, m5 movu [r0], m0 movu [r0 + r1], m4 pmovzxbw m0, [r2] pmovzxbw m1, [r2 + 8] pmovzxbw m4, [r2 + r4] pmovzxbw m5, [r2 + r4 + 8] movu m2, [r3] movu m3, [r3 + 16] movu m6, [r3 + r5] movu m7, [r3 + r5 + 16] dec r6d lea r0, [r0 + r1 * 2] lea r2, [r2 + r4 * 2] lea r3, [r3 + r5 * 2] paddw m0, m2 paddw m1, m3 paddw m4, m6 paddw m5, m7 packuswb m0, m1 packuswb m4, m5 movu [r0], m0 movu [r0 + r1], m4 lea r0, [r0 + r1 * 2] jnz .loop RET %endif %endmacro PIXEL_ADD_PS_W16_H4 16, 16 PIXEL_ADD_PS_W16_H4 16, 32 ;----------------------------------------------------------------------------- ; void pixel_add_ps_16x16(pixel *dest, intptr_t destride, pixel *src0, int16_t *scr1, intptr_t srcStride0, intptr_t srcStride1) ;----------------------------------------------------------------------------- %macro PIXEL_ADD_PS_W16_H4_avx2 1 %if HIGH_BIT_DEPTH %if ARCH_X86_64 INIT_YMM avx2 cglobal pixel_add_ps_16x%1, 6, 10, 4, dest, destride, src0, scr1, srcStride0, srcStride1 mova m3, [pw_pixel_max] pxor m2, m2 mov r6d, %1/4 add r4d, r4d add r5d, r5d add r1d, r1d lea r7, [r4 * 3] lea r8, [r5 * 3] lea r9, [r1 * 3] .loop: movu m0, [r2] movu m1, [r3] paddw m0, m1 CLIPW m0, m2, m3 movu [r0], m0 movu m0, [r2 + r4] movu m1, [r3 + r5] paddw m0, m1 CLIPW m0, m2, m3 movu [r0 + r1], m0 movu m0, [r2 + r4 * 2] movu m1, [r3 + r5 * 2] paddw m0, m1 CLIPW m0, m2, m3 movu [r0 + r1 * 2], m0 movu m0, [r2 + r7] movu m1, [r3 + r8] paddw m0, m1 CLIPW m0, m2, m3 movu [r0 + r9], m0 dec r6d lea r0, [r0 + r1 * 4] lea r2, [r2 + r4 * 4] lea r3, [r3 + r5 * 4] jnz .loop RET %endif %else INIT_YMM avx2 cglobal pixel_add_ps_16x%1, 6, 7, 8, dest, destride, src0, scr1, srcStride0, srcStride1 mov r6d, %1/4 add r5, r5 .loop: pmovzxbw m0, [r2] ; row 0 of src0 pmovzxbw m1, [r2 + r4] ; row 1 of src0 movu m2, [r3] ; row 0 of src1 movu m3, [r3 + r5] ; row 1 of src1 paddw m0, m2 paddw m1, m3 packuswb m0, m1 lea r2, [r2 + r4 * 2] lea r3, [r3 + r5 * 2] pmovzxbw m2, [r2] ; row 2 of src0 pmovzxbw m3, [r2 + r4] ; row 3 of src0 movu m4, [r3] ; row 2 of src1 movu m5, [r3 + r5] ; row 3 of src1 paddw m2, m4 paddw m3, m5 packuswb m2, m3 lea r2, [r2 + r4 * 2] lea r3, [r3 + r5 * 2] vpermq m0, m0, 11011000b movu [r0], xm0 ; row 0 of dst vextracti128 xm3, m0, 1 movu [r0 + r1], xm3 ; row 1 of dst lea r0, [r0 + r1 * 2] vpermq m2, m2, 11011000b movu [r0], xm2 ; row 2 of dst vextracti128 xm3, m2, 1 movu [r0 + r1], xm3 ; row 3 of dst lea r0, [r0 + r1 * 2] dec r6d jnz .loop RET %endif %endmacro PIXEL_ADD_PS_W16_H4_avx2 16 PIXEL_ADD_PS_W16_H4_avx2 32 ;----------------------------------------------------------------------------- ; void pixel_add_ps_32x%2(pixel *dest, intptr_t destride, pixel *src0, int16_t *scr1, intptr_t srcStride0, intptr_t srcStride1) ;----------------------------------------------------------------------------- %macro PIXEL_ADD_PS_W32_H2 2 %if HIGH_BIT_DEPTH INIT_XMM sse2 cglobal pixel_add_ps_32x%2, 6, 7, 6, dest, destride, src0, scr1, srcStride0, srcStride1 mova m5, [pw_pixel_max] pxor m4, m4 mov r6d, %2/2 add r4, r4 add r5, r5 add r1, r1 .loop: movu m0, [r2] movu m2, [r2 + 16] movu m1, [r3] movu m3, [r3 + 16] paddw m0, m1 paddw m2, m3 CLIPW2 m0, m2, m4, m5 movu [r0], m0 movu [r0 + 16], m2 movu m0, [r2 + 32] movu m2, [r2 + 48] movu m1, [r3 + 32] movu m3, [r3 + 48] paddw m0, m1 paddw m2, m3 CLIPW2 m0, m2, m4, m5 movu [r0 + 32], m0 movu [r0 + 48], m2 movu m0, [r2 + r4] movu m2, [r2 + r4 + 16] movu m1, [r3 + r5] movu m3, [r3 + r5 + 16] paddw m0, m1 paddw m2, m3 CLIPW2 m0, m2, m4, m5 movu [r0 + r1], m0 movu [r0 + r1 + 16], m2 movu m0, [r2 + r4 + 32] movu m2, [r2 + r4 + 48] movu m1, [r3 + r5 + 32] movu m3, [r3 + r5 + 48] dec r6d lea r2, [r2 + r4 * 2] lea r3, [r3 + r5 * 2] paddw m0, m1 paddw m2, m3 CLIPW2 m0, m2, m4, m5 movu [r0 + r1 + 32], m0 movu [r0 + r1 + 48], m2 lea r0, [r0 + r1 * 2] jnz .loop RET %else INIT_XMM sse4 cglobal pixel_add_ps_32x%2, 6, 7, 8, dest, destride, src0, scr1, srcStride0, srcStride1 mov r6d, %2/2 add r5, r5 .loop: pmovzxbw m0, [r2] pmovzxbw m1, [r2 + 8] pmovzxbw m2, [r2 + 16] pmovzxbw m3, [r2 + 24] movu m4, [r3] movu m5, [r3 + 16] movu m6, [r3 + 32] movu m7, [r3 + 48] paddw m0, m4 paddw m1, m5 paddw m2, m6 paddw m3, m7 packuswb m0, m1 packuswb m2, m3 movu [r0], m0 movu [r0 + 16], m2 pmovzxbw m0, [r2 + r4] pmovzxbw m1, [r2 + r4 + 8] pmovzxbw m2, [r2 + r4 + 16] pmovzxbw m3, [r2 + r4 + 24] movu m4, [r3 + r5] movu m5, [r3 + r5 + 16] movu m6, [r3 + r5 + 32] movu m7, [r3 + r5 + 48] dec r6d lea r2, [r2 + r4 * 2] lea r3, [r3 + r5 * 2] paddw m0, m4 paddw m1, m5 paddw m2, m6 paddw m3, m7 packuswb m0, m1 packuswb m2, m3 movu [r0 + r1], m0 movu [r0 + r1 + 16], m2 lea r0, [r0 + r1 * 2] jnz .loop RET %endif %endmacro PIXEL_ADD_PS_W32_H2 32, 32 PIXEL_ADD_PS_W32_H2 32, 64 ;----------------------------------------------------------------------------- ; void pixel_add_ps_32x32(pixel *dest, intptr_t destride, pixel *src0, int16_t *scr1, intptr_t srcStride0, intptr_t srcStride1) ;----------------------------------------------------------------------------- %macro PIXEL_ADD_PS_W32_H4_avx2 1 %if HIGH_BIT_DEPTH %if ARCH_X86_64 INIT_YMM avx2 cglobal pixel_add_ps_32x%1, 6, 10, 6, dest, destride, src0, scr1, srcStride0, srcStride1 mova m5, [pw_pixel_max] pxor m4, m4 mov r6d, %1/4 add r4d, r4d add r5d, r5d add r1d, r1d lea r7, [r4 * 3] lea r8, [r5 * 3] lea r9, [r1 * 3] .loop: movu m0, [r2] movu m2, [r2 + 32] movu m1, [r3] movu m3, [r3 + 32] paddw m0, m1 paddw m2, m3 CLIPW2 m0, m2, m4, m5 movu [r0], m0 movu [r0 + 32], m2 movu m0, [r2 + r4] movu m2, [r2 + r4 + 32] movu m1, [r3 + r5] movu m3, [r3 + r5 + 32] paddw m0, m1 paddw m2, m3 CLIPW2 m0, m2, m4, m5 movu [r0 + r1], m0 movu [r0 + r1 + 32], m2 movu m0, [r2 + r4 * 2] movu m2, [r2 + r4 * 2 + 32] movu m1, [r3 + r5 * 2] movu m3, [r3 + r5 * 2 + 32] paddw m0, m1 paddw m2, m3 CLIPW2 m0, m2, m4, m5 movu [r0 + r1 * 2], m0 movu [r0 + r1 * 2 + 32], m2 movu m0, [r2 + r7] movu m2, [r2 + r7 + 32] movu m1, [r3 + r8] movu m3, [r3 + r8 + 32] paddw m0, m1 paddw m2, m3 CLIPW2 m0, m2, m4, m5 movu [r0 + r9], m0 movu [r0 + r9 + 32], m2 dec r6d lea r0, [r0 + r1 * 4] lea r2, [r2 + r4 * 4] lea r3, [r3 + r5 * 4] jnz .loop RET %endif %else %if ARCH_X86_64 INIT_YMM avx2 cglobal pixel_add_ps_32x%1, 6, 10, 8, dest, destride, src0, scr1, srcStride0, srcStride1 mov r6d, %1/4 add r5, r5 lea r7, [r4 * 3] lea r8, [r5 * 3] lea r9, [r1 * 3] .loop: pmovzxbw m0, [r2] ; first half of row 0 of src0 pmovzxbw m1, [r2 + 16] ; second half of row 0 of src0 movu m2, [r3] ; first half of row 0 of src1 movu m3, [r3 + 32] ; second half of row 0 of src1 paddw m0, m2 paddw m1, m3 packuswb m0, m1 vpermq m0, m0, 11011000b movu [r0], m0 ; row 0 of dst pmovzxbw m0, [r2 + r4] ; first half of row 1 of src0 pmovzxbw m1, [r2 + r4 + 16] ; second half of row 1 of src0 movu m2, [r3 + r5] ; first half of row 1 of src1 movu m3, [r3 + r5 + 32] ; second half of row 1 of src1 paddw m0, m2 paddw m1, m3 packuswb m0, m1 vpermq m0, m0, 11011000b movu [r0 + r1], m0 ; row 1 of dst pmovzxbw m0, [r2 + r4 * 2] ; first half of row 2 of src0 pmovzxbw m1, [r2 + r4 * 2 + 16] ; second half of row 2 of src0 movu m2, [r3 + r5 * 2] ; first half of row 2 of src1 movu m3, [r3 + + r5 * 2 + 32]; second half of row 2 of src1 paddw m0, m2 paddw m1, m3 packuswb m0, m1 vpermq m0, m0, 11011000b movu [r0 + r1 * 2], m0 ; row 2 of dst pmovzxbw m0, [r2 + r7] ; first half of row 3 of src0 pmovzxbw m1, [r2 + r7 + 16] ; second half of row 3 of src0 movu m2, [r3 + r8] ; first half of row 3 of src1 movu m3, [r3 + r8 + 32] ; second half of row 3 of src1 paddw m0, m2 paddw m1, m3 packuswb m0, m1 vpermq m0, m0, 11011000b movu [r0 + r9], m0 ; row 3 of dst lea r2, [r2 + r4 * 4] lea r3, [r3 + r5 * 4] lea r0, [r0 + r1 * 4] dec r6d jnz .loop RET %endif %endif %endmacro PIXEL_ADD_PS_W32_H4_avx2 32 PIXEL_ADD_PS_W32_H4_avx2 64 ;----------------------------------------------------------------------------- ; void pixel_add_ps_64x%2(pixel *dest, intptr_t destride, pixel *src0, int16_t *scr1, intptr_t srcStride0, intptr_t srcStride1) ;----------------------------------------------------------------------------- %macro PIXEL_ADD_PS_W64_H2 2 %if HIGH_BIT_DEPTH INIT_XMM sse2 cglobal pixel_add_ps_64x%2, 6, 7, 6, dest, destride, src0, scr1, srcStride0, srcStride1 mova m5, [pw_pixel_max] pxor m4, m4 mov r6d, %2/2 add r4, r4 add r5, r5 add r1, r1 .loop: movu m0, [r2] movu m2, [r2 + 16] movu m1, [r3] movu m3, [r3 + 16] paddw m0, m1 paddw m2, m3 CLIPW2 m0, m2, m4, m5 movu [r0], m0 movu [r0 + 16], m2 movu m0, [r2 + 32] movu m2, [r2 + 48] movu m1, [r3 + 32] movu m3, [r3 + 48] paddw m0, m1 paddw m2, m3 CLIPW2 m0, m2, m4, m5 movu [r0 + 32], m0 movu [r0 + 48], m2 movu m0, [r2 + 64] movu m2, [r2 + 80] movu m1, [r3 + 64] movu m3, [r3 + 80] paddw m0, m1 paddw m2, m3 CLIPW2 m0, m2, m4, m5 movu [r0 + 64], m0 movu [r0 + 80], m2 movu m0, [r2 + 96] movu m2, [r2 + 112] movu m1, [r3 + 96] movu m3, [r3 + 112] paddw m0, m1 paddw m2, m3 CLIPW2 m0, m2, m4, m5 movu [r0 + 96], m0 movu [r0 + 112], m2 movu m0, [r2 + r4] movu m2, [r2 + r4 + 16] movu m1, [r3 + r5] movu m3, [r3 + r5 + 16] paddw m0, m1 paddw m2, m3 CLIPW2 m0, m2, m4, m5 movu [r0 + r1], m0 movu [r0 + r1 + 16], m2 movu m0, [r2 + r4 + 32] movu m2, [r2 + r4 + 48] movu m1, [r3 + r5 + 32] movu m3, [r3 + r5 + 48] paddw m0, m1 paddw m2, m3 CLIPW2 m0, m2, m4, m5 movu [r0 + r1 + 32], m0 movu [r0 + r1 + 48], m2 movu m0, [r2 + r4 + 64] movu m2, [r2 + r4 + 80] movu m1, [r3 + r5 + 64] movu m3, [r3 + r5 + 80] paddw m0, m1 paddw m2, m3 CLIPW2 m0, m2, m4, m5 movu [r0 + r1 + 64], m0 movu [r0 + r1 + 80], m2 movu m0, [r2 + r4 + 96] movu m2, [r2 + r4 + 112] movu m1, [r3 + r5 + 96] movu m3, [r3 + r5 + 112] dec r6d lea r2, [r2 + r4 * 2] lea r3, [r3 + r5 * 2] paddw m0, m1 paddw m2, m3 CLIPW2 m0, m2, m4, m5 movu [r0 + r1 + 96], m0 movu [r0 + r1 + 112], m2 lea r0, [r0 + r1 * 2] jnz .loop RET %else INIT_XMM sse4 cglobal pixel_add_ps_64x%2, 6, 7, 8, dest, destride, src0, scr1, srcStride0, srcStride1 mov r6d, %2/2 add r5, r5 .loop: pmovzxbw m0, [r2] pmovzxbw m1, [r2 + 8] pmovzxbw m2, [r2 + 16] pmovzxbw m3, [r2 + 24] movu m4, [r3] movu m5, [r3 + 16] movu m6, [r3 + 32] movu m7, [r3 + 48] paddw m0, m4 paddw m1, m5 paddw m2, m6 paddw m3, m7 packuswb m0, m1 packuswb m2, m3 movu [r0], m0 movu [r0 + 16], m2 pmovzxbw m0, [r2 + 32] pmovzxbw m1, [r2 + 40] pmovzxbw m2, [r2 + 48] pmovzxbw m3, [r2 + 56] movu m4, [r3 + 64] movu m5, [r3 + 80] movu m6, [r3 + 96] movu m7, [r3 + 112] paddw m0, m4 paddw m1, m5 paddw m2, m6 paddw m3, m7 packuswb m0, m1 packuswb m2, m3 movu [r0 + 32], m0 movu [r0 + 48], m2 pmovzxbw m0, [r2 + r4] pmovzxbw m1, [r2 + r4 + 8] pmovzxbw m2, [r2 + r4 + 16] pmovzxbw m3, [r2 + r4 + 24] movu m4, [r3 + r5] movu m5, [r3 + r5 + 16] movu m6, [r3 + r5 + 32] movu m7, [r3 + r5 + 48] paddw m0, m4 paddw m1, m5 paddw m2, m6 paddw m3, m7 packuswb m0, m1 packuswb m2, m3 movu [r0 + r1], m0 movu [r0 + r1 + 16], m2 pmovzxbw m0, [r2 + r4 + 32] pmovzxbw m1, [r2 + r4 + 40] pmovzxbw m2, [r2 + r4 + 48] pmovzxbw m3, [r2 + r4 + 56] movu m4, [r3 + r5 + 64] movu m5, [r3 + r5 + 80] movu m6, [r3 + r5 + 96] movu m7, [r3 + r5 + 112] dec r6d lea r2, [r2 + r4 * 2] lea r3, [r3 + r5 * 2] paddw m0, m4 paddw m1, m5 paddw m2, m6 paddw m3, m7 packuswb m0, m1 packuswb m2, m3 movu [r0 + r1 + 32], m0 movu [r0 + r1 + 48], m2 lea r0, [r0 + r1 * 2] jnz .loop RET %endif %endmacro PIXEL_ADD_PS_W64_H2 64, 64 ;----------------------------------------------------------------------------- ; void pixel_add_ps_64x64(pixel *dest, intptr_t destride, pixel *src0, int16_t *scr1, intptr_t srcStride0, intptr_t srcStride1) ;----------------------------------------------------------------------------- %if HIGH_BIT_DEPTH %if ARCH_X86_64 INIT_YMM avx2 cglobal pixel_add_ps_64x64, 6, 10, 6, dest, destride, src0, scr1, srcStride0, srcStride1 mova m5, [pw_pixel_max] pxor m4, m4 mov r6d, 16 add r4d, r4d add r5d, r5d add r1d, r1d lea r7, [r4 * 3] lea r8, [r5 * 3] lea r9, [r1 * 3] .loop: movu m0, [r2] movu m1, [r2 + 32] movu m2, [r3] movu m3, [r3 + 32] paddw m0, m2 paddw m1, m3 CLIPW2 m0, m1, m4, m5 movu [r0], m0 movu [r0 + 32], m1 movu m0, [r2 + 64] movu m1, [r2 + 96] movu m2, [r3 + 64] movu m3, [r3 + 96] paddw m0, m2 paddw m1, m3 CLIPW2 m0, m1, m4, m5 movu [r0 + 64], m0 movu [r0 + 96], m1 movu m0, [r2 + r4] movu m1, [r2 + r4 + 32] movu m2, [r3 + r5] movu m3, [r3 + r5 + 32] paddw m0, m2 paddw m1, m3 CLIPW2 m0, m1, m4, m5 movu [r0 + r1], m0 movu [r0 + r1 + 32], m1 movu m0, [r2 + r4 + 64] movu m1, [r2 + r4 + 96] movu m2, [r3 + r5 + 64] movu m3, [r3 + r5 + 96] paddw m0, m2 paddw m1, m3 CLIPW2 m0, m1, m4, m5 movu [r0 + r1 + 64], m0 movu [r0 + r1 + 96], m1 movu m0, [r2 + r4 * 2] movu m1, [r2 + r4 * 2 + 32] movu m2, [r3 + r5 * 2] movu m3, [r3 + r5 * 2+ 32] paddw m0, m2 paddw m1, m3 CLIPW2 m0, m1, m4, m5 movu [r0 + r1 * 2], m0 movu [r0 + r1 * 2 + 32], m1 movu m0, [r2 + r4 * 2 + 64] movu m1, [r2 + r4 * 2 + 96] movu m2, [r3 + r5 * 2 + 64] movu m3, [r3 + r5 * 2 + 96] paddw m0, m2 paddw m1, m3 CLIPW2 m0, m1, m4, m5 movu [r0 + r1 * 2 + 64], m0 movu [r0 + r1 * 2 + 96], m1 movu m0, [r2 + r7] movu m1, [r2 + r7 + 32] movu m2, [r3 + r8] movu m3, [r3 + r8 + 32] paddw m0, m2 paddw m1, m3 CLIPW2 m0, m1, m4, m5 movu [r0 + r9], m0 movu [r0 + r9 + 32], m1 movu m0, [r2 + r7 + 64] movu m1, [r2 + r7 + 96] movu m2, [r3 + r8 + 64] movu m3, [r3 + r8 + 96] paddw m0, m2 paddw m1, m3 CLIPW2 m0, m1, m4, m5 movu [r0 + r9 + 64], m0 movu [r0 + r9 + 96], m1 dec r6d lea r0, [r0 + r1 * 4] lea r2, [r2 + r4 * 4] lea r3, [r3 + r5 * 4] jnz .loop RET %endif %else INIT_YMM avx2 cglobal pixel_add_ps_64x64, 6, 7, 8, dest, destride, src0, scr1, srcStride0, srcStride1 mov r6d, 32 add r5, r5 .loop: pmovzxbw m0, [r2] ; first 16 of row 0 of src0 pmovzxbw m1, [r2 + 16] ; second 16 of row 0 of src0 pmovzxbw m2, [r2 + 32] ; third 16 of row 0 of src0 pmovzxbw m3, [r2 + 48] ; forth 16 of row 0 of src0 movu m4, [r3] ; first 16 of row 0 of src1 movu m5, [r3 + 32] ; second 16 of row 0 of src1 movu m6, [r3 + 64] ; third 16 of row 0 of src1 movu m7, [r3 + 96] ; forth 16 of row 0 of src1 paddw m0, m4 paddw m1, m5 paddw m2, m6 paddw m3, m7 packuswb m0, m1 packuswb m2, m3 vpermq m0, m0, 11011000b movu [r0], m0 ; first 32 of row 0 of dst vpermq m2, m2, 11011000b movu [r0 + 32], m2 ; second 32 of row 0 of dst pmovzxbw m0, [r2 + r4] ; first 16 of row 1 of src0 pmovzxbw m1, [r2 + r4 + 16] ; second 16 of row 1 of src0 pmovzxbw m2, [r2 + r4 + 32] ; third 16 of row 1 of src0 pmovzxbw m3, [r2 + r4 + 48] ; forth 16 of row 1 of src0 movu m4, [r3 + r5] ; first 16 of row 1 of src1 movu m5, [r3 + r5 + 32] ; second 16 of row 1 of src1 movu m6, [r3 + r5 + 64] ; third 16 of row 1 of src1 movu m7, [r3 + r5 + 96] ; forth 16 of row 1 of src1 paddw m0, m4 paddw m1, m5 paddw m2, m6 paddw m3, m7 packuswb m0, m1 packuswb m2, m3 vpermq m0, m0, 11011000b movu [r0 + r1], m0 ; first 32 of row 1 of dst vpermq m2, m2, 11011000b movu [r0 + r1 + 32], m2 ; second 32 of row 1 of dst lea r2, [r2 + r4 * 2] lea r3, [r3 + r5 * 2] lea r0, [r0 + r1 * 2] dec r6d jnz .loop RET %endif
29.247387
127
0.394359
[ "Apache-2.0" ]
xu5343/ffmpegtoolkit_CentOS7
x265/source/common/x86/pixeladd8.asm
33,576
Assembly
4
; A188943: Decimal expansion of (7+sqrt(13))/6. ; Submitted by Jon Maiga ; 1,7,6,7,5,9,1,8,7,9,2,4,3,9,9,8,2,1,5,5,1,9,8,7,0,2,1,1,2,4,5,0,8,2,6,5,7,7,0,8,5,4,9,4,2,8,9,7,4,2,0,7,7,0,2,1,1,8,4,0,8,8,4,2,7,0,4,5,2,7,8,2,4,7,1,5,5,0,1,7,4,0,8,6,7,4,3,6,5,1,3,6,6,9,7,4,8,4,5,2 mov $1,3 mov $3,$0 mul $3,3 lpb $3 add $1,$2 add $2,$1 mul $1,6 mul $2,2 sub $3,1 lpe mov $4,10 pow $4,$0 div $2,$4 cmp $5,0 add $2,$5 mul $2,3 div $1,$2 mov $0,$1 mod $0,10
19.166667
201
0.534783
[ "Apache-2.0" ]
ckrause/loda-programs
oeis/188/A188943.asm
460
Assembly
4
; script start: ; asm ; expression AND ; expression > ; expression / ; expression * ; expression + ; value int 2 set r7 2 ; value int 3 set r8 3 add r6 r7 r8 ; value int 7 set r7 7 mul r5 r6 r7 ; value int 8 set r6 8 div r4 r5 r6 ; value int 10 set r5 10 test r4 r5 set r3 1 jg next_0 set r3 0 next_0: ; expression XOR ; expression < ; expression + ; value int 7 set r7 7 ; value int 5 set r8 5 add r6 r7 r8 ; value int 5 set r7 5 test r6 r7 set r5 1 jl next_1 set r5 0 next_1: ; expression != ; value int 7 set r7 7 ; n: r8 set r8 0 load r8 r8 test r7 r8 set r6 1 jne next_2 set r6 0 next_2: xor r4 r5 r6 and r2 r3 r4 writenumber r2 stop
27.719298
41
0.277215
[ "BSD-2-Clause" ]
alexandruradovici/alf-alfy-asm-language-public
verify/alfy/3_expression/long_expression.alfy.asm
1,580
Assembly
4
; A026044: a(n) = (d(n)-r(n))/2, where d = A026043 and r is the periodic sequence with fundamental period (1,1,0,0). ; 22,33,49,70,97,132,176,229,292,367,455,556,671,802,950,1115,1298,1501,1725,1970,2237,2528,2844,3185,3552,3947,4371,4824,5307,5822,6370,6951,7566,8217,8905,9630,10393,11196,12040,12925,13852,14823,15839,16900,18007,19162,20366,21619,22922,24277 seq $0,26043 ; a(n) = dot_product(1,2,...,n)*(5,6,...,n,1,2,3,4). div $0,2
73.166667
245
0.701595
[ "Apache-2.0" ]
ckrause/cm
programs/oeis/026/A026044.asm
439
Assembly
4
-- HUMAN RESOURCE MACHINE PROGRAM -- -- 02-Busy-Mail-Room - SIZE 3/3 - SPEED 30/25 -- a: INBOX OUTBOX JUMP a
15.75
48
0.579365
[ "Unlicense" ]
danielfreer/human-resource-machine
solutions/02-Busy-Mail-Room-3.25/3.30-danielfreer.asm
126
Assembly
4
; A116996: Partial sums of A116966. ; 0,1,4,6,10,15,22,28,36,45,56,66,78,91,106,120,136,153,172,190,210,231,254,276,300,325,352,378,406,435,466,496,528,561,596,630,666,703,742,780,820,861,904,946,990,1035,1082,1128,1176,1225 mul $0,2 lpb $0 add $1,$0 mov $2,$0 sub $0,1 trn $2,6 mov $3,2 trn $3,$0 sub $0,4 trn $0,3 sub $1,$3 add $1,$2 lpe
21.235294
188
0.626039
[ "Apache-2.0" ]
jmorken/loda
programs/oeis/116/A116996.asm
361
Assembly
4
.global s_prepare_buffers s_prepare_buffers: push %r12 push %r13 push %r8 push %rbp push %rcx push %rdi push %rdx push %rsi lea addresses_WC_ht+0x25e4, %rdx nop add $40472, %r13 mov (%rdx), %bp nop nop nop cmp $285, %r8 lea addresses_WC_ht+0x1ae24, %rsi lea addresses_A_ht+0x7058, %rdi nop nop nop nop sub %rbp, %rbp mov $110, %rcx rep movsw nop nop nop nop add %rcx, %rcx lea addresses_A_ht+0x78e4, %rdx nop nop xor $11971, %rcx movl $0x61626364, (%rdx) nop nop nop nop sub $57107, %rcx lea addresses_normal_ht+0x3302, %rdi nop cmp $51967, %rsi mov (%rdi), %ecx nop and $22011, %rbp lea addresses_UC_ht+0x16ce4, %rsi lea addresses_D_ht+0x23e4, %rdi nop nop nop nop nop cmp %r12, %r12 mov $59, %rcx rep movsq nop sub $50682, %r13 lea addresses_A_ht+0x190e4, %rdi nop nop nop nop nop dec %rdx mov $0x6162636465666768, %rcx movq %rcx, %xmm0 and $0xffffffffffffffc0, %rdi vmovaps %ymm0, (%rdi) nop inc %r8 lea addresses_normal_ht+0x16e4, %rbp nop nop nop nop nop and %rsi, %rsi mov $0x6162636465666768, %rdx movq %rdx, (%rbp) nop nop nop nop nop dec %rdx pop %rsi pop %rdx pop %rdi pop %rcx pop %rbp pop %r8 pop %r13 pop %r12 ret .global s_faulty_load s_faulty_load: push %r12 push %rax push %rbp push %rbx push %rdi push %rdx // Faulty Load lea addresses_normal+0x198e4, %rdx clflush (%rdx) nop nop nop nop dec %rdi movups (%rdx), %xmm0 vpextrq $1, %xmm0, %rax lea oracles, %rbx and $0xff, %rax shlq $12, %rax mov (%rbx,%rax,1), %rax pop %rdx pop %rdi pop %rbx pop %rbp pop %rax pop %r12 ret /* <gen_faulty_load> [REF] {'OP': 'LOAD', 'src': {'size': 8, 'NT': False, 'type': 'addresses_normal', 'same': False, 'AVXalign': False, 'congruent': 0}} [Faulty Load] {'OP': 'LOAD', 'src': {'size': 16, 'NT': False, 'type': 'addresses_normal', 'same': True, 'AVXalign': False, 'congruent': 0}} <gen_prepare_buffer> {'OP': 'LOAD', 'src': {'size': 2, 'NT': True, 'type': 'addresses_WC_ht', 'same': False, 'AVXalign': False, 'congruent': 7}} {'OP': 'REPM', 'src': {'same': False, 'type': 'addresses_WC_ht', 'congruent': 3}, 'dst': {'same': False, 'type': 'addresses_A_ht', 'congruent': 2}} {'OP': 'STOR', 'dst': {'size': 4, 'NT': False, 'type': 'addresses_A_ht', 'same': False, 'AVXalign': True, 'congruent': 11}} {'OP': 'LOAD', 'src': {'size': 4, 'NT': False, 'type': 'addresses_normal_ht', 'same': False, 'AVXalign': False, 'congruent': 0}} {'OP': 'REPM', 'src': {'same': False, 'type': 'addresses_UC_ht', 'congruent': 9}, 'dst': {'same': False, 'type': 'addresses_D_ht', 'congruent': 8}} {'OP': 'STOR', 'dst': {'size': 32, 'NT': False, 'type': 'addresses_A_ht', 'same': False, 'AVXalign': True, 'congruent': 11}} {'OP': 'STOR', 'dst': {'size': 8, 'NT': False, 'type': 'addresses_normal_ht', 'same': False, 'AVXalign': False, 'congruent': 8}} {'34': 21829} 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 34 */
39.14966
2,999
0.662728
[ "MIT" ]
danielmgmi/medusa
Transynther/_processed/NONE/_xt_/i9-9900K_12_0xca.log_21829_217.asm
5,755
Assembly
4
; A035492: Position of card 1 after n-th shuffle in Guy's shuffling problem (A035485). ; Submitted by Jon Maiga ; 1,2,4,1,2,4,8,1,2,4,8,16,7,14,28,25,17,34,31,23,5,10,20,40,31,11,22,44,31,3,6,12,24,48,27,54,35,70,63,47,13,26,52,17,34,68,43,86,75,51,1,2,4,8,16,32,64,13,26,52,104,85,45,90,51,102,71,7,14,28,56,112,79,11,22,44,88,21,42,84,7,14,28,56,112,53,106,37,74,148,115,47,94,1,2,4,8,16,32,64 mov $1,1 mov $2,1 lpb $0 sub $0,1 add $2,2 mod $1,$2 mul $1,2 add $1,1 lpe mov $0,$1 div $0,2 add $0,1
30
283
0.635294
[ "Apache-2.0" ]
ckrause/loda-programs
oeis/035/A035492.asm
510
Assembly
4
; A262543: Number of rooted asymmetrical polyenoids of type U_n* having n edges. ; 0,2,4,10,28,84,264,858,2860,9724,33592,117572,416024,1485800,5348880,19389690,70715340,259289580,955277400,3534526380,13128240840,48932534040,182965127280,686119227300,2579808294648,9723892802904,36734706144304,139067101832008,527495903500720,2004484433302736,7629973004184608 mov $3,$0 mov $0,1 mov $2,$3 mov $5,1 add $5,$3 mul $3,2 bin $3,$2 div $3,$5 mov $4,6 mov $6,$2 lpb $6,1 lpb $4,4 add $0,$3 mov $6,$4 sub $4,$4 lpe lpe mov $1,$0 div $1,2 mul $1,2
23.208333
278
0.728905
[ "Apache-2.0" ]
karttu/loda
programs/oeis/262/A262543.asm
557
Assembly
4
* = $C000 lda #$10 .loop SEC sbc #$01 jmp .loop
13
21
0.269231
[ "MIT" ]
tofu13/READY.
programs/test_ADC.asm
104
Assembly
4
.constant_pool .const 0 string [start] .const 1 string [constructor] .const 2 string [var1] .const 3 string [var2] .const 4 int [10] .const 5 int [1] .const 6 string [io.writeln] .const 7 int [20] .end .entity start .valid_context_when (always) .method constructor .var 0 int var1 .var 1 int var2 ldconst 4 --> [10] stvar 0 --> [var1] ldvar 0 --> [var1] ldconst 5 --> [1] lcall 6 --> [io.writeln] ldconst 7 --> [20] stvar 1 --> [var2] ldvar 1 --> [var2] ldconst 5 --> [1] lcall 6 --> [io.writeln] exit .end .end
25.413793
40
0.451832
[ "MIT" ]
alexgarzao/UOP
testsuite/ubic/expected/attr_int_3.asm
737
Assembly
4
.global s_prepare_buffers s_prepare_buffers: push %r10 push %r12 push %r9 push %rbx push %rcx push %rdi push %rsi lea addresses_WC_ht+0x1a1a0, %rsi lea addresses_A_ht+0x175a0, %rdi clflush (%rdi) nop nop nop dec %r12 mov $6, %rcx rep movsq nop nop nop nop nop cmp $5717, %r9 lea addresses_D_ht+0x16b80, %rdi sub $60067, %r10 vmovups (%rdi), %ymm0 vextracti128 $1, %ymm0, %xmm0 vpextrq $1, %xmm0, %rcx cmp %rcx, %rcx lea addresses_WT_ht+0x112a0, %rsi lea addresses_WC_ht+0x178a0, %rdi nop cmp %rbx, %rbx mov $109, %rcx rep movsb nop nop nop inc %rsi lea addresses_D_ht+0xc6a0, %rdi nop nop nop cmp $8592, %rsi mov (%rdi), %r10d nop and $12323, %r12 lea addresses_UC_ht+0x16b20, %r9 nop nop nop nop sub %r12, %r12 movb (%r9), %cl inc %rcx lea addresses_normal_ht+0x2a0, %rsi lea addresses_normal_ht+0x166a0, %rdi nop nop nop nop add %rbx, %rbx mov $127, %rcx rep movsl inc %rsi lea addresses_normal_ht+0x52a0, %rbx clflush (%rbx) nop xor $13991, %r9 movups (%rbx), %xmm0 vpextrq $1, %xmm0, %r10 and $17396, %r12 lea addresses_A_ht+0xa2a0, %r12 cmp %rdi, %rdi movb (%r12), %r9b nop nop nop nop nop and %rcx, %rcx lea addresses_UC_ht+0x10ce6, %r9 nop nop nop and $13342, %rcx movb (%r9), %r10b add %r12, %r12 lea addresses_A_ht+0xd0a0, %rsi nop nop cmp %rdi, %rdi mov $0x6162636465666768, %r10 movq %r10, %xmm0 movups %xmm0, (%rsi) nop inc %r12 lea addresses_D_ht+0xe2a0, %rcx nop nop nop nop xor $36460, %rbx mov $0x6162636465666768, %rsi movq %rsi, (%rcx) and $26283, %rdi lea addresses_normal_ht+0x2aa0, %r9 nop nop nop nop nop sub %r12, %r12 mov (%r9), %di nop nop and $39109, %rdi lea addresses_A_ht+0x11be0, %rsi nop sub $13725, %rbx mov $0x6162636465666768, %r12 movq %r12, (%rsi) nop add %rdi, %rdi pop %rsi pop %rdi pop %rcx pop %rbx pop %r9 pop %r12 pop %r10 ret .global s_faulty_load s_faulty_load: push %r11 push %r14 push %r9 push %rbp push %rcx push %rdi // Store lea addresses_UC+0x64a0, %r11 nop cmp $16968, %r9 movb $0x51, (%r11) nop nop nop lfence // Faulty Load lea addresses_WC+0x16aa0, %r11 nop nop inc %rbp mov (%r11), %di lea oracles, %r14 and $0xff, %rdi shlq $12, %rdi mov (%r14,%rdi,1), %rdi pop %rdi pop %rcx pop %rbp pop %r9 pop %r14 pop %r11 ret /* <gen_faulty_load> [REF] {'src': {'same': False, 'congruent': 0, 'NT': True, 'type': 'addresses_WC', 'size': 32, 'AVXalign': False}, 'OP': 'LOAD'} {'OP': 'STOR', 'dst': {'same': False, 'congruent': 8, 'NT': False, 'type': 'addresses_UC', 'size': 1, 'AVXalign': False}} [Faulty Load] {'src': {'same': True, 'congruent': 0, 'NT': False, 'type': 'addresses_WC', 'size': 2, 'AVXalign': False}, 'OP': 'LOAD'} <gen_prepare_buffer> {'src': {'type': 'addresses_WC_ht', 'congruent': 8, 'same': False}, 'OP': 'REPM', 'dst': {'type': 'addresses_A_ht', 'congruent': 8, 'same': False}} {'src': {'same': True, 'congruent': 4, 'NT': False, 'type': 'addresses_D_ht', 'size': 32, 'AVXalign': False}, 'OP': 'LOAD'} {'src': {'type': 'addresses_WT_ht', 'congruent': 11, 'same': False}, 'OP': 'REPM', 'dst': {'type': 'addresses_WC_ht', 'congruent': 7, 'same': False}} {'src': {'same': False, 'congruent': 9, 'NT': False, 'type': 'addresses_D_ht', 'size': 4, 'AVXalign': False}, 'OP': 'LOAD'} {'src': {'same': False, 'congruent': 7, 'NT': True, 'type': 'addresses_UC_ht', 'size': 1, 'AVXalign': False}, 'OP': 'LOAD'} {'src': {'type': 'addresses_normal_ht', 'congruent': 11, 'same': True}, 'OP': 'REPM', 'dst': {'type': 'addresses_normal_ht', 'congruent': 8, 'same': False}} {'src': {'same': False, 'congruent': 7, 'NT': False, 'type': 'addresses_normal_ht', 'size': 16, 'AVXalign': False}, 'OP': 'LOAD'} {'src': {'same': False, 'congruent': 11, 'NT': True, 'type': 'addresses_A_ht', 'size': 1, 'AVXalign': False}, 'OP': 'LOAD'} {'src': {'same': False, 'congruent': 1, 'NT': False, 'type': 'addresses_UC_ht', 'size': 1, 'AVXalign': False}, 'OP': 'LOAD'} {'OP': 'STOR', 'dst': {'same': False, 'congruent': 7, 'NT': False, 'type': 'addresses_A_ht', 'size': 16, 'AVXalign': False}} {'OP': 'STOR', 'dst': {'same': False, 'congruent': 11, 'NT': False, 'type': 'addresses_D_ht', 'size': 8, 'AVXalign': False}} {'src': {'same': False, 'congruent': 11, 'NT': False, 'type': 'addresses_normal_ht', 'size': 2, 'AVXalign': False}, 'OP': 'LOAD'} {'OP': 'STOR', 'dst': {'same': False, 'congruent': 6, 'NT': False, 'type': 'addresses_A_ht', 'size': 8, 'AVXalign': False}} {'38': 21829} 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 38 */
37.413265
2,999
0.655257
[ "MIT" ]
danielmgmi/medusa
Transynther/_processed/NONE/_xt_/i3-7100_9_0xca_notsx.log_21829_799.asm
7,333
Assembly
4
.EQU LOOP_COUNT = 0xFF .EQU LOOP_COUNT_IN = 0X5E ;--------------------------------------------- ;- Port Constants ;-------------------------------------------------------------------- .EQU LED_PORT = 0x40 ; port for LED output --- OUTOUT ;-------------------------------------------------------------------- .CSEG .ORG 0X40 ;Test of the first branch, LD7 main: MOV R1, 0X00 ADD R1, 0X01 BRN pause1 SUB R1, 0X01 pause1: OUT R1, LED_PORT MOV R9, LOOP_COUNT outLoop1: MOV R10, LOOP_COUNT SUB R9, 0X01 midLoop1: MOV R11, LOOP_COUNT_IN SUB R10, 0X01 inLoop1: SUB R11, 0X01 BRNE inLoop1 OR R10, 0X00 BRNE midLoop1 OR R9, 0X00 BRNE outLoop1 ;Second Test for Branch if EQ LD6 test2: ADD R1, 0X02 MOV R4, 0X77 CMP R4, 0X77 BREQ pause2 SUB R1, 0X02 pause2: OUT R1, LED_PORT MOV R9, LOOP_COUNT outLoop2: MOV R10, LOOP_COUNT SUB R9, 0X01 midLoop2: MOV R11, LOOP_COUNT_IN SUB R10, 0X01 inLoop2: SUB R11, 0X01 BRNE inLoop2 OR R10, 0X00 BRNE midLoop2 OR R9, 0X00 BRNE outLoop2 ;Third Test for Branch if Not EQ LD5 ADD R1, 0X04 MOV R4, 0X77 CMP R4, 0X66 BRNE pause3 SUB R1, 0X04 pause3: OUT R1, LED_PORT MOV R9, LOOP_COUNT outLoop3: MOV R10, LOOP_COUNT SUB R9, 0X01 midLoop3: MOV R11, LOOP_COUNT_IN SUB R10, 0X01 inLoop3: SUB R11, 0X01 BRNE inLoop3 OR R10, 0X00 BRNE midLoop3 OR R9, 0X00 BRNE outLoop3 ;Fourth Test for Direct Branch LD4 ADD R1, 0X08 BRN pause4 SUB R1, 0X08 pause4: OUT R1, LED_PORT MOV R9, LOOP_COUNT outLoop4: MOV R10, LOOP_COUNT SUB R9, 0X01 midLoop4: MOV R11, LOOP_COUNT_IN SUB R10, 0X01 inLoop4: SUB R11, 0X01 BRNE inLoop4 OR R10, 0X00 BRNE midLoop4 OR R9, 0X00 BRNE outLoop4 ;Fifth Test for Branch if Carry Set LD3 ADD R1, 0X10 MOV R4, 0XFF ADD R4, 0X55 BRCS pause5 SUB R1, 0X10 pause5: OUT R1, LED_PORT MOV R9, LOOP_COUNT outLoop5: MOV R10, LOOP_COUNT SUB R9, 0X01 midLoop5: MOV R11, LOOP_COUNT_IN SUB R10, 0X01 inLoop5: SUB R11, 0X01 BRNE inLoop5 OR R10, 0X00 BRNE midLoop5 OR R9, 0X00 BRNE outLoop5 ;Sixth Test for Branch if Carry Cleared LD2 ADD R1, 0X20 MOV R4, 0X77 ADD R4, 0X11 BRCC pause6 SUB R1, 0X20 pause6: OUT R1, LED_PORT MOV R9, LOOP_COUNT outLoop6: MOV R10, LOOP_COUNT SUB R9, 0X01 midLoop6: MOV R11, LOOP_COUNT_IN SUB R10, 0X01 inLoop6: SUB R11, 0X01 BRNE inLoop6 OR R10, 0X00 BRNE midLoop6 OR R9, 0X00 BRNE outLoop6 ;Seventh Test for CLEAR CARRY ADD R1, 0X40 MOV R4, 0XFF ADD R4, 0XFF CLC BRCC pause7 SUB R1, 0X40 pause7: OUT R1, LED_PORT MOV R9, LOOP_COUNT outLoop7: MOV R10, LOOP_COUNT SUB R9, 0X01 midLoop7: MOV R11, LOOP_COUNT_IN SUB R10, 0X01 inLoop7: SUB R11, 0X01 BRNE inLoop7 OR R10, 0X00 BRNE midLoop7 OR R9, 0X00 BRNE outLoop7 ;Eigth and final test for SET CARRY ADD R1, 0X80 MOV R4, 0XFF SUB R4, 0X11 SEC BRCS pause8 SUB R1, 0X80 BRN pause8 pause8: OUT R1, LED_PORT MOV R9, LOOP_COUNT outLoop8: MOV R10, LOOP_COUNT SUB R9, 0X01 midLoop8: MOV R11, LOOP_COUNT_IN SUB R10, 0X01 inLoop8: SUB R11, 0X01 BRNE inLoop8 OR R10, 0X00 BRNE midLoop8 OR R9, 0X00 BRNE outLoop8 final: OUT R1, LED_PORT BRN final
16.014925
69
0.650513
[ "MIT" ]
EmilyClaire/space_invader
experiment08/3b_BRN_BREQ_BRNE_BRCS_BRCC_CLC_SEC.asm
3,219
Assembly
4
jmp near start mytext db 'L',0x07,'a',0x07,'b',0x07,'e',0x07,'l',0x07,' ',0x07,'o',0x07,\ 'f',0x07,'f',0x07,'s',0x07,'e',0x07,'t',0x07,':',0x07 number db 0,0,0,0,0 start: mov ax,0x7c0 ;设置数据段基地址 mov ds,ax mov ax,0xb800 ;设置附加段基地址 mov es,ax cld mov si,mytext mov di,0 mov cx,(number-mytext)/2 ;实际上等于 13 rep movsw ;得到标号所代表的偏移地址 mov ax,number ;计算各个数位 mov bx,ax mov cx,5 ;循环次数 mov si,10 ;除数 digit: xor dx,dx div si mov [bx],dl ;保存数位 inc bx loop digit ;显示各个数位 mov bx,number mov si,4 show: mov al,[bx+si] add al,0x30 mov ah,0x04 mov [es:di],ax add di,2 dec si jns show mov word [es:di],0x0744 jmp near $ times 510-($-$$) db 0 db 0x55,0xaa
22.346154
74
0.36833
[ "Apache-2.0" ]
Richyeoh/Assembly
Notes/Protect/chapter6/mbr.asm
1,272
Assembly
4
; int sprintf(char *s, const char *format, ...) SECTION code_clib SECTION code_stdio PUBLIC _sprintf EXTERN asm_sprintf _sprintf: push ix call asm_sprintf pop ix ret
10.105263
47
0.682292
[ "BSD-2-Clause" ]
ByteProject/Puddle-BuildTools
FictionTools/z88dk/libsrc/_DEVELOPMENT/stdio/c/sdcc_ix/sprintf.asm
192
Assembly
4
; A333320: a(n) is the number of subsets of {1..n} that contain exactly 4 odd and 1 even numbers. ; 0,0,0,0,0,0,0,3,4,20,25,75,90,210,245,490,560,1008,1134,1890,2100,3300,3630,5445,5940,8580,9295,13013,14014,19110,20475,27300,29120,38080,40460,52020,55080,69768,73644,92055,96900,119700,125685,153615,160930,194810,203665,244398,255024,303600,316250,373750,388700,456300,473850,552825,573300,665028,688779,794745,822150,943950,975415,1114760,1150720,1309440,1350360,1530408,1576784,1780240,1832600,2061675,2120580,2377620,2443665,2731155,2804970,3125538,3207789,3564210,3655600,4050800,4152070,4589130,4701060,5183220,5306630,5837293,5973044,6555780,6704775,7343325,7506510,8204790,8383155,9145260,9339840,10170048,10381924,11284700 mov $1,$0 div $1,2 sub $0,$1 bin $0,4 mul $0,$1
86.888889
634
0.803069
[ "Apache-2.0" ]
ckrause/cm
programs/oeis/333/A333320.asm
782
Assembly
4
*= $0801 .byte $4c,$16,$08,$00,$97,$32 .byte $2c,$30,$3a,$9e,$32,$30 .byte $37,$30,$00,$00,$00,$a9 .byte $01,$85,$02 jsr print .byte 13 .text "(up)rorzx" .byte 0 lda #%00011011 sta db lda #%11000110 sta ab lda #%10110001 sta xb lda #%01101100 sta yb lda #0 sta pb tsx stx sb lda #0 sta db sta xb next lda db sta 172 lsr a sta dr lda pb and #1 beq noc lda dr ora #128 sta dr noc lda ab sta ar lda xb sta xr lda yb sta yr lda pb ora #%00110000 and #%01111100 tax lda dr cmp #0 bne nozero txa ora #%00000010 tax nozero lda dr bpl noneg txa ora #%10000000 tax noneg lda db and #1 beq nocarry txa ora #%00000001 tax nocarry stx pr lda sb sta sr ldx sb txs lda pb pha lda ab ldx xb ldy yb plp cmd ror 172,x php cld sta aa stx xa sty ya pla sta pa tsx stx sa lda 172 sta da jsr check inc cmd+1 dec xb inc db bne jmpnext inc pb beq nonext jmpnext jmp next nonext jsr print .text " - ok" .byte 13,0 lda 2 beq load wait jsr $ffe4 beq wait jmp $8000 load jsr print name .text "rora" namelen = *-name .byte 0 lda #0 sta $0a sta $b9 lda #namelen sta $b7 lda #<name sta $bb lda #>name sta $bc pla pla jmp $e16f db .byte 0 ab .byte 0 xb .byte 0 yb .byte 0 pb .byte 0 sb .byte 0 da .byte 0 aa .byte 0 xa .byte 0 ya .byte 0 pa .byte 0 sa .byte 0 dr .byte 0 ar .byte 0 xr .byte 0 yr .byte 0 pr .byte 0 sr .byte 0 check .block lda da cmp dr bne error lda aa cmp ar bne error lda xa cmp xr bne error lda ya cmp yr bne error lda pa cmp pr bne error lda sa cmp sr bne error rts error jsr print .byte 13 .null "before " ldx #<db ldy #>db jsr showregs jsr print .byte 13 .null "after " ldx #<da ldy #>da jsr showregs jsr print .byte 13 .null "right " ldx #<dr ldy #>dr jsr showregs lda #13 jsr $ffd2 wait jsr $ffe4 beq wait cmp #3 beq stop rts stop lda 2 beq basic jmp $8000 basic jmp ($a002) showregs stx 172 sty 173 ldy #0 lda (172),y jsr hexb lda #32 jsr $ffd2 lda #32 jsr $ffd2 iny lda (172),y jsr hexb lda #32 jsr $ffd2 iny lda (172),y jsr hexb lda #32 jsr $ffd2 iny lda (172),y jsr hexb lda #32 jsr $ffd2 iny lda (172),y ldx #"n" asl a bcc ok7 ldx #"N" ok7 pha txa jsr $ffd2 pla ldx #"v" asl a bcc ok6 ldx #"V" ok6 pha txa jsr $ffd2 pla ldx #"0" asl a bcc ok5 ldx #"1" ok5 pha txa jsr $ffd2 pla ldx #"b" asl a bcc ok4 ldx #"B" ok4 pha txa jsr $ffd2 pla ldx #"d" asl a bcc ok3 ldx #"D" ok3 pha txa jsr $ffd2 pla ldx #"i" asl a bcc ok2 ldx #"I" ok2 pha txa jsr $ffd2 pla ldx #"z" asl a bcc ok1 ldx #"Z" ok1 pha txa jsr $ffd2 pla ldx #"c" asl a bcc ok0 ldx #"C" ok0 pha txa jsr $ffd2 pla lda #32 jsr $ffd2 iny lda (172),y .bend hexb pha lsr a lsr a lsr a lsr a jsr hexn pla and #$0f hexn ora #$30 cmp #$3a bcc hexn0 adc #6 hexn0 jmp $ffd2 print pla .block sta print0+1 pla sta print0+2 ldx #1 print0 lda !*,x beq print1 jsr $ffd2 inx bne print0 print1 sec txa adc print0+1 sta print2+1 lda #0 adc print0+2 sta print2+2 print2 jmp !* .bend
16.047337
38
0.35601
[ "MIT" ]
PhylumChordata/chips-test
tests/testsuite-2.15/ascii-src/rorzx.asm
5,424
Assembly
4
; A152692: a(n) = n*3^n - n*2^n - n*1^n. ; 0,0,8,54,256,1050,3984,14406,50432,172530,580240,1926078,6328128,20619690,66732176,214742070,687698944,2193154530,6968850192,22073006382,69714716480,219623377050,690291036688,2165100175014,6777906222336,21181376375250,66086766706064,205887508215966,640542672546112,1990265374325130,6176701750584720 sub $2,$0 cal $0,4142 ; n(3^n-2^n). mov $1,$0 add $1,$2
49.875
300
0.786967
[ "Apache-2.0" ]
jmorken/loda
programs/oeis/152/A152692.asm
399
Assembly
4
SECTION "Startham forest text strings", ROMX set_text_prefix StarthamForestEndOfDemo dline 0, "Thank you for" dline 1, "playing this short" dline 2, "demo of Aevilia!" dline 3, "Unfortunately this" dline 4, "is as far as it" dline 5, "goes..." dline 6, "So we must bid you" dline 7, "farewell." set_text_prefix StarthamForestEntranceSign dline 0, "Startham Forest" dline 1, "Be careful\, don't" dline 2, "get lost in the" dline 3, "maze of trees!" set_text_prefix StarthamForestDiagonalSign dline 0, "Tired of these" dline 1, "diagonal rows of" dline 2, "trees?" dline 3, "Try holding" dline 4, "UP and RIGHT at" dline 5, "the same time!" set_text_prefix StarthamForestExitSign dline 0, "To your left" dline 1, "Old Startham Ruins"
24.242424
45
0.68375
[ "Apache-2.0" ]
ISSOtm/Aevilia-GB
text/startham_forest.asm
800
Assembly
4
Name: c-select-j.asm Type: file Size: 44212 Last-Modified: '1992-07-14T23:56:59Z' SHA-1: 50632E9C358C653FD7C5113BC4CF23DF9E1C6D7F Description: null
21.142857
47
0.804054
[ "MIT" ]
prismotizm/gigaleak
other.7z/NEWS.7z/NEWS/テープリストア/NEWS_05/NEWS_05.tar/home/kimura/kart/risc.lzh/risc/join/c-select-j.asm
148
Assembly
4
; A035214: 2 followed by a run of n 1's. ; 2,2,1,2,1,1,2,1,1,1,2,1,1,1,1,2,1,1,1,1,1,2,1,1,1,1,1,1,2,1,1,1,1,1,1,1,2,1,1,1,1,1,1,1,1,2,1,1,1,1,1,1,1,1,1,2,1,1,1,1,1,1,1,1,1,1,2,1,1,1,1,1,1,1,1,1,1,1,2,1,1,1,1,1,1,1,1,1,1,1,1,2,1,1,1,1,1,1,1,1,1,1,1,1,1,2,1,1,1,1,1,1,1,1,1,1,1,1,1,1,2,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,2,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,2,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,2,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,2,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,2,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,2,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1 lpb $0 add $2,1 sub $0,$2 lpe mov $1,$0 cmp $1,0 add $1,1
55.090909
501
0.509901
[ "Apache-2.0" ]
jmorken/loda
programs/oeis/035/A035214.asm
606
Assembly
4
;LAB_1 ;PROBLEM 2: WRITE A 8086 ASSEMBLY PROGRAM TO CHANGE THE CASE OF ;A UPPER CASE LETTER TO LOWER CASE .MODEL SMALL .STACK 100H .DATA MSG1 DB 'ENTER A UPPER CASE LETTER: $' MSG2 DB 0DH, 0AH, 'IN LOWER CASE THE LETTER IS: ' CHAR DB ?, '$' .CODE MAIN PROC ;INITIALIZE DATA SEGMENT MOV AX, @DATA ;GET DATA SEGMENT MOV DS, AX ;PUT THE DATA SEGMENT VALUE INTO DS REGISTER ;PRINT THE STRING LEA DX, MSG1 ;LOADING THE ADDRESS OF MSG1 INTO DX MOV AH, 09 INT 21H ;TAKING THE INPUT MOV AH, 01 INT 21H ADD AL, 20H ;CONVERTING INTO LOWER CASE MOV CHAR, AL ;SAVING THE VALUE CONVERTED INTO LOWER CASE INTO VARIABLE CHAR ;DISPLAY ON THE NEXT LINE LEA DX, MSG2 MOV AH, 09 INT 21H ;EXIT MOV AH, 4CH ;EXIT PROGRAM FUNCTION INT 21H MAIN ENDP END MAIN
22.631579
82
0.638372
[ "MIT" ]
m4hi2/microprocessor-lab-codes
LAB1/LAB1_2_2.asm
860
Assembly
4
str_vesa_error: db "VESA VBE ERROR",10,13,0 vesa_var0: dw 0 vesa_var1: db 32 ; BPB de pelomenos 32-bit vesa_var2: dw 800 ;1024 ; X Resolution de Pelomenos => 1024 vesa_var3: dw 0x118 ; Especil mode vesa_vbe_mode: ; Info da chip VBE call .vbe_infos cmp ax,0x004F jne vesa_error push ds xor si,si mov ds,si mov si,LDSBASE mov di,LDSVESABASE mov es,di xor di,di mov cx,0x200 cld rep movsb pop ds ; Info do modo de video ; verifica se especial mode bpp = 32 bits MOV CX, WORD [vesa_var3] CALL vbe_info_mode CMP AX, 0x004F JNE vesa_error MOV AL, BYTE[vesa_var1] CMP BYTE [vbe_mode_info_block + 25], AL JZ .SUCCESSFULL JMP .NO_SUCCESSFULL .SUCCESSFULL: MOV AX, WORD [vesa_var3] MOV WORD [vesa_var0], AX PUSH ES JMP .end2 .NO_SUCCESSFULL: ; Procurar outro modo. push es mov ax,word[LDSBASE+16] mov es,ax mov di,word[LDSBASE+14] xor bx,bx .next: mov ax,word[di+bx] mov word[vesa_var0],ax mov cx,word[vesa_var0] cmp cx,0xffff jz .end call vbe_info_mode cmp ax,0x004F jne vesa_error mov al,byte[vesa_var1] cmp byte[vbe_mode_info_block+25],al jz .ok jmp .continue .ok: mov ax,word[vesa_var2] cmp word[vbe_mode_info_block+18],ax jge .end2 .continue: add bx,2 jmp .next .end: cmp byte[vesa_var1],0 jne .continue2 cmp word[vesa_var2],800 jz .error mov word[vesa_var2],800 mov byte[vesa_var1],32 xor bx,bx jmp .next .continue2: sub byte[vesa_var1],8 ; TODO SUB 8-bytes or 16-bytes xor bx,bx jmp .next .error: pop es jmp vesa_error .end2: pop es push ds xor si,si mov ds,si mov si,vbe_mode_info_block mov di,LDSVESABASE mov es,di mov di,0x200 mov cx,0x200 cld rep movsb pop ds ; Nelson Aqui, e por onde controlamos, o hablitar, desabiliatr do VBE, comentando descomentando o RET ;ret ; Define modo de video xor ebx,ebx mov bx,word[vesa_var0] or bx, 0x4000 call vbe_define_mode cmp ax,0x004F jne vesa_error ret ; Obtém infos, sobre o cartão VBE .vbe_infos: xor eax,eax push di push es mov ax,0x4F00 mov di,LDSBASE mov dword[di],"VBE2" int 0x10 pop es pop di ret ; Obtém infos, do modo VBE ; cx, numero de modo vbe_info_mode: xor eax,eax mov ax,0 mov es,ax push di push es mov ax,0x4F01 mov di,vbe_mode_info_block int 0x10 pop es pop di ret ; Define modo de vídeo ; bx numero de modo vbe_define_mode: xor eax,eax push di push es mov ax,0x4F02 int 0x10 pop es pop di ret vesa_error: mov si,str_vesa_error call print xor ax,ax int 0x16 ret
15.331429
102
0.660455
[ "BSD-3-Clause" ]
nelsoncole/sirius-x86-64
boot/stage1/vesa.asm
2,687
Assembly
4
; A165856: Totally multiplicative sequence with a(p) = 35. ; Submitted by Jon Maiga ; 1,35,35,1225,35,1225,35,42875,1225,1225,35,42875,35,1225,1225,1500625,35,42875,35,42875,1225,1225,35,1500625,1225,1225,42875,42875,35,42875,35,52521875,1225,1225,1225,1500625,35,1225,1225,1500625,35,42875,35,42875,42875,1225,35,52521875,1225,42875,1225,42875,35,1500625,1225,1500625,1225,1225,35,1500625,35,1225,42875,1838265625,1225,42875,35,42875,1225,42875,35,52521875,35,1225,42875,42875,1225,42875,35,52521875,1500625,1225,35,1500625,1225,1225,1225,1500625,35,1500625,1225,42875,1225,1225,1225 add $0,1 mov $1,1 lpb $0 sub $0,1 seq $0,32742 ; a(1) = 1; for n > 1, a(n) = largest proper divisor of n. mul $1,35 lpe mov $0,$1
55.538462
500
0.750693
[ "Apache-2.0" ]
ckrause/loda-programs
oeis/165/A165856.asm
722
Assembly
4
; A022108: Fibonacci sequence beginning 1, 18. ; 1,18,19,37,56,93,149,242,391,633,1024,1657,2681,4338,7019,11357,18376,29733,48109,77842,125951,203793,329744,533537,863281,1396818,2260099,3656917,5917016,9573933,15490949 mov $1,1 mov $2,11 lpb $0 sub $0,1 mov $3,$1 mov $1,1 add $1,$2 add $1,6 add $2,$3 lpe
22.928571
173
0.700935
[ "Apache-2.0" ]
jmorken/loda
programs/oeis/022/A022108.asm
321
Assembly
4
_MistyPreBattleText:: text "Hi, you're a new" line "face!" para "Trainers who want" line "to turn pro have" cont "to have a policy" cont "about #MON!" para "What is your" line "approach when you" cont "catch #MON?" para "My policy is an" line "all-out offensive" cont "with water-type" cont "#MON!" done _TM11ExplanationText:: text "TM11 teaches" line "BUBBLEBEAM!" para "Use it on an" line "aquatic #MON!" done _MistyCascadeBadgeInfoText:: text "The CASCADEBADGE" line "makes all #MON" cont "up to L30 obey!" para "That includes" line "even outsiders!" para "There's more, you" line "can now use CUT" cont "any time!" para "You can CUT down" line "small bushes to" cont "open new paths!" para "You can also have" line "my favorite TM!" done _ReceivedTM11Text:: text "<PLAYER> received" line "TM11!@" text_end _TM11NoRoomText:: text "You better make" line "room for this!" done _ReceivedCascadeBadgeText:: text "Wow!" line "You're too much!" para "All right!" para "You can have the" line "CASCADEBADGE to" cont "show you beat me!@" text_end _CeruleanGymBattleText1:: text "I'm more than good" line "enough for you!" para "MISTY can wait!" done _CeruleanGymEndBattleText1:: text "You" line "overwhelmed me!" prompt _CeruleanGymAfterBattleText1:: text "You have to face" line "other trainers to" cont "find out how good" cont "you really are." done _CeruleanGymBattleText2:: text "Splash!" para "I'm first up!" line "Let's do it!" done _CeruleanGymEndBattleText2:: text "That" line "can't be!" prompt _CeruleanGymAfterBattleText2:: text "MISTY is going to" line "keep improving!" para "She won't lose to" line "someone like you!" done _CeruleanGymGuidePreBattleText:: text "Yo! Champ in" line "the making!" para "Here's my advice!" para "The LEADER, MISTY," line "is a pro who uses" cont "water #MON!" para "You can drain all" line "their water with" cont "plant #MON!" para "Or, zap them with" line "electricity!" done _CeruleanGymGuidePostBattleText:: text "You beat MISTY!" line "What'd I tell ya?" para "You and me kid," line "we make a pretty" cont "darn good team!" done
16.380597
33
0.694761
[ "CC0-1.0" ]
opiter09/ASM-Machina
text/CeruleanGym.asm
2,195
Assembly
4
; A111113: a(2^m) = 1, a(2^m+1) = -1 (m>0), otherwise a(n) = 0. ; 0,0,1,-1,1,-1,0,0,1,-1,0,0,0,0,0,0,1,-1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,-1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,-1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0 lpb $0 sub $0,1 sub $2,$3 add $3,1 bin $2,$3 mod $2,2 lpe mov $0,$2
29.25
207
0.475783
[ "Apache-2.0" ]
ckrause/loda-programs
oeis/111/A111113.asm
351
Assembly
4
; A184010: n + floor(sqrt(-1+4n/3)); complement of A001859 (except for initial zero). ; Submitted by Jamie Morken(s4) ; 1,3,4,6,7,8,9,11,12,13,14,15,17,18,19,20,21,22,23,25,26,27,28,29,30,31,32,34,35,36,37,38,39,40,41,42,43,45,46,47,48,49,50,51,52,53,54,55,57,58,59,60,61,62,63,64,65,66,67,68,69,71,72,73,74,75,76,77,78,79,80,81,82,83,84,86,87,88,89,90,91,92,93,94,95,96,97,98,99,100,101,103,104,105,106,107,108,109,110,111 mov $4,$0 mul $0,4 add $0,2 mov $2,$4 mov $3,2 lpb $0 sub $0,1 trn $0,$3 add $2,1 add $3,6 lpe mov $0,$2
31.647059
305
0.641264
[ "Apache-2.0" ]
ckrause/loda-programs
oeis/184/A184010.asm
538
Assembly
4
; uchar zx_px2bitmask(uchar x) SECTION code_clib SECTION code_arch PUBLIC _zx_px2bitmask EXTERN asm_zx_px2bitmask _zx_px2bitmask: pop af pop hl push hl push af jp asm_zx_px2bitmask
10.35
30
0.743961
[ "BSD-2-Clause" ]
ByteProject/Puddle-BuildTools
FictionTools/z88dk/libsrc/_DEVELOPMENT/arch/zx/display/c/sdcc/zx_px2bitmask.asm
207
Assembly
4
dnl Intel Atom mpn_addlsh2_n/mpn_sublsh2_n -- rp[] = up[] +- (vp[] << 2). dnl Contributed to the GNU project by Marco Bodrato. dnl Copyright 2011 Free Software Foundation, Inc. dnl This file is part of the GNU MP Library. dnl dnl The GNU MP Library is free software; you can redistribute it and/or modify dnl it under the terms of either: dnl dnl * the GNU Lesser General Public License as published by the Free dnl Software Foundation; either version 3 of the License, or (at your dnl option) any later version. dnl dnl or dnl dnl * the GNU General Public License as published by the Free Software dnl Foundation; either version 2 of the License, or (at your option) any dnl later version. dnl dnl or both in parallel, as here. dnl dnl The GNU MP Library is distributed in the hope that it will be useful, but dnl WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY dnl or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License dnl for more details. dnl dnl You should have received copies of the GNU General Public License and the dnl GNU Lesser General Public License along with the GNU MP Library. If not, dnl see https://www.gnu.org/licenses/. include(`../config.m4') define(LSH, 2) define(RSH, 30) ifdef(`OPERATION_addlsh2_n', ` define(M4_inst, adcl) define(M4_opp, subl) define(M4_function, mpn_addlsh2_n) define(M4_function_c, mpn_addlsh2_nc) define(M4_ip_function_c, mpn_addlsh2_nc_ip1) define(M4_ip_function, mpn_addlsh2_n_ip1) ',`ifdef(`OPERATION_sublsh2_n', ` define(M4_inst, sbbl) define(M4_opp, addl) define(M4_function, mpn_sublsh2_n) define(M4_function_c, mpn_sublsh2_nc) define(M4_ip_function_c, mpn_sublsh2_nc_ip1) define(M4_ip_function, mpn_sublsh2_n_ip1) ',`m4_error(`Need OPERATION_addlsh2_n or OPERATION_sublsh2_n ')')') MULFUNC_PROLOGUE(mpn_sublsh2_n mpn_sublsh2_nc mpn_sublsh2_n_ip1 mpn_sublsh2_nc_ip1) include_mpn(`x86/atom/aorslshC_n.asm')
33.965517
83
0.769543
[ "ECL-2.0", "Apache-2.0" ]
12mohaned/chapel
third-party/gmp/gmp-src/mpn/x86/atom/sublsh2_n.asm
1,970
Assembly
4
; A339950: Numbers k such that all k-sections of the infinite Fibonacci word A014675 have just two different run-lengths. ; 1,7,14,20,27,35,41,48,54,62,69,75,82,90,96,103,109,117,124,130,137,143,151,158,164,171,179,185,192,198,206,213,219,226,234,240,247,253,260,268,274,281,287,295,302,308,315,323,329,336,342,350,357,363,370,376,384,391,397,404 mov $2,1 lpb $0 sub $0,$2 mov $2,$0 max $2,0 seq $2,189378 ; a(n) = n + [nr/s] + [nt/s]; r=2, s=(-1+sqrt(5))/2, t=(1+sqrt(5))/2. add $3,$2 lpe mov $0,$3 add $0,1
37.142857
224
0.653846
[ "Apache-2.0" ]
ckrause/loda-programs
oeis/339/A339950.asm
520
Assembly
4
; A080036: a(n) = n + round(sqrt(2*n)) + 1. ; 1,3,5,6,8,9,10,12,13,14,15,17,18,19,20,21,23,24,25,26,27,28,30,31,32,33,34,35,36,38,39,40,41,42,43,44,45,47,48,49,50,51,52,53,54,55,57,58,59,60,61,62,63,64,65,66,68,69,70,71,72,73,74,75,76,77,78,80,81,82,83,84,85,86,87,88,89,90,91,93,94,95,96,97,98,99,100,101,102,103,104,105,107,108,109,110,111,112,113,114 mov $1,$0 lpb $1 add $0,1 add $2,1 trn $1,$2 lpe add $0,1
38.090909
309
0.625298
[ "Apache-2.0" ]
ckrause/cm
programs/oeis/080/A080036.asm
419
Assembly
4
%macro write 1 ;%1 = the message to print mov eax,4 mov ebx,1 mov edx,equ $ - %1 mov ecx,%1 int 80h %endmacro section .text global _start _start: mov edx,vimlen mov ecx,vim mov ebx,1 mov eax,4 int 0x80 ;begin os mov edx,equ $ - loader mov ecx,loader mov ebx,1 mov eax,4 int 0x80 mov edx,equ $ - worksA mov ecx,worksA mov ebx,1 mov eax,4 int 0x80 ;start os turn on ;end os turn on ;start os display ;end os display print SHUTDOWN_MSG ;end os mov eax,1 int 0x80 section .data vim db 'loading',0xa vimlen equ $ - vim loader db 'JT OS version 0.0.0.09',0xa worksA db 'testing file system',0xa SHUTDOWN_MSG db "shutting down system",0xa INVENTORY DW 0 DW 1 DW 2 DW 3 DW 4 DW 5 DW 6 DW 7 DW 8 DW 9 ;inventory equals 9 bytes of 0x0
15.16
46
0.4635
[ "Apache-2.0" ]
J-Tech-Foundation/rogue-os
boot-beta.asm
1,137
Assembly
4
; Sets ITALIC flag in P_FLAG permanently ; Parameter: ITALIC flag in bit 0 of A register #include once <copy_attr.asm> ITALIC: PROC and 1 rrca rrca rrca ld hl, FLAGS2 res 5, (HL) or (hl) ld (hl), a ret ; Sets ITALIC flag in P_FLAG temporarily ITALIC_TMP: and 1 rrca rrca rrca rrca ld hl, FLAGS2 res 4, (hl) or (hl) ld (hl), a ret ENDP
11.212121
47
0.651351
[ "Apache-2.0" ]
gb-archive/really-old-stuff
mastersystem/zxb-sms-2012-02-23/zxb-sms/bin/zxb/library-asm/italic.asm
370
Assembly
4
; A017223: a(n) = (9*n+5)^3. ; 125,2744,12167,32768,68921,125000,205379,314432,456533,636056,857375,1124864,1442897,1815848,2248091,2744000,3307949,3944312,4657463,5451776,6331625,7301384,8365427,9528128,10793861,12167000,13651919,15252992,16974593,18821096,20796875,22906304,25153757,27543608,30080231,32768000,35611289,38614472,41781923,45118016,48627125,52313624,56181887,60236288,64481201,68921000,73560059,78402752,83453453,88716536,94196375,99897344,105823817,111980168,118370771,125000000,131872229,138991832,146363183 mul $0,9 add $0,5 pow $0,3
79.142857
496
0.837545
[ "Apache-2.0" ]
ckrause/loda-programs
oeis/017/A017223.asm
554
Assembly
4
\ Fill Mode 7 screen INCLUDE "os.asm" srca = &60 srcb = &62 srcc = &64 srcd = &66 desta = &70 destb = &72 destc = &74 destd = &76 frame = &78 ORG &2000 .start ; Mode 7 LDA #&16:JSR OSWRCH LDA #&07:JSR OSWRCH ; Hide the cursor LDA #23:JSR OSWRCH LDA #01:JSR OSWRCH LDA #00:JSR OSWRCH JSR OSWRCH:JSR OSWRCH:JSR OSWRCH:JSR OSWRCH:JSR OSWRCH:JSR OSWRCH:JSR OSWRCH ; Set up source frame LDA #&00:STA frame ; Set up source offsets LDA #(frames) MOD 256:STA srca LDA #(frames) DIV 256:STA srca+1 LDA #(frames+&100) MOD 256:STA srcb LDA #(frames+&100) DIV 256:STA srcb+1 LDA #(frames+&200) MOD 256:STA srcc LDA #(frames+&200) DIV 256:STA srcc+1 LDA #(frames+&300) MOD 256:STA srcd LDA #(frames+&300) DIV 256:STA srcd+1 ; Set up screen offsets LDA #(MODE7BASE) MOD 256:STA desta LDA #(MODE7BASE) DIV 256:STA desta+1 LDA #(MODE7BASE+&100) MOD 256:STA destb LDA #(MODE7BASE+&100) DIV 256:STA destb+1 LDA #(MODE7BASE+&200) MOD 256:STA destc LDA #(MODE7BASE+&200) DIV 256:STA destc+1 LDA #(MODE7BASE+&300) MOD 256:STA destd LDA #(MODE7BASE+&300) DIV 256:STA destd+1 .main ; Copy frame from source to dest LDY #&00 .pageloop LDA (srca),Y:STA (desta),Y LDA (srcb),Y:STA (destb),Y LDA (srcc),Y:STA (destc),Y LDA (srcd),Y:STA (destd),Y INY BNE pageloop ; Move on to next frame INC frame:LDA frame CMP #22 BNE nextpage LDA #&00:STA frame ; Reset to first frame .nextpage LDA #(frames) DIV 256:STA srca+1 ; Load frames base address LDA frame ASL A:ASL A ; * 4 CLC ADC srca+1 STA srca+1 TAX INX:STX srcb+1 INX:STX srcc+1 INX:STX srcd+1 .delay { LDY &00 .yloop LDX &E0 .xloop INX BNE xloop INY BNE yloop } JMP main .finished RTS ALIGN &100 .frames INCBIN "txmri" .end SAVE "Main", start, end
15.973684
78
0.646897
[ "MIT" ]
picosonic/teletext_mri
teletextmri.asm
1,821
Assembly
4
.nds .relativeinclude on .erroronwarning on .open "ftc/overlay9_25", 022D7900h ; In Jonathan mode, start the game as player 2 (Charlotte) instead of player 1. .org 0x022D90F0 strb r3,[r1,0CE7h] nop .close .open "ftc/overlay9_78", 022E8820h ; Make the drawbridge be down by default in all modes. .org 0x022E8880 mov r1, 1h .close ; TODO: Certain cutscenes (like the one where you enter the first portrait) will forcibly switch you to Jonathan.
19.826087
113
0.747807
[ "MIT" ]
CgAu3/DSVEdit
asm/por_charlotte_only.asm
456
Assembly
4
BITS 64 ;TEST_FILE_META_BEGIN ;TEST_TYPE=TEST_F ;TEST_IGNOREFLAGS= ;TEST_FILE_META_END ; Cld ;TEST_BEGIN_RECORDING cld ;TEST_END_RECORDING
14.181818
25
0.762821
[ "BSD-3-Clause" ]
0xDEC0DE8/mcsema
mc-sema/validator/x86_64/tests/CLD.asm
156
Assembly
4
;; ;; Upcaste Performance Libraries ;; Copyright (C) 2012-2013 Jesse W. Towner ;; ;; Permission is hereby granted, free of charge, to any person obtaining ;; a copy of this software and associated documentation files (the ;; "Software"), to deal in the Software without restriction, including ;; without limitation the rights to use, copy, modify, merge, publish, ;; distribute, sublicense, and/or sell copies of the Software, and to ;; permit persons to whom the Software is furnished to do so, subject to ;; the following conditions: ;; ;; The above copyright notice and this permission notice shall be ;; included in all copies or substantial portions of the Software. ;; ;; THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, ;; EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF ;; MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. ;; IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY ;; CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, ;; TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE ;; SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. ;; INCLUDE fenv.inc ;; ;; int fesetexceptflag(fexcept_t const* flagp, int excepts); ;; ;; Set the exception status flags for both the x87 FPU and the ;; SSE control/status register. ;; ;; Input: ;; rcx = flagp ;; edx = excepts ;; Output: ;; rax = 0 on success, -1 on error ;; .CODE ALIGN 8 PUBLIC fesetexceptflag fesetexceptflag PROC ; test flagp argument for validity test rcx, rcx jz _invalid_flagp ; mask out status flags to set mov ax, WORD PTR [ecx] and edx, FE_ALL_EXCEPT and eax, edx not edx ; set exception flags in x87 FPU fnstenv [rsp - SIZEOF fenv] and [rsp - SIZEOF fenv].fenv.status_word, dx or [rsp - SIZEOF fenv].fenv.status_word, ax fldenv [rsp - SIZEOF fenv] ; set exception flags in SSE control/status register stmxcsr [rsp - SIZEOF fenv].fenv.mxcsr and [rsp - SIZEOF fenv].fenv.mxcsr, edx or [rsp - SIZEOF fenv].fenv.mxcsr, eax ldmxcsr [rsp - SIZEOF fenv].fenv.mxcsr ; done, return zero xor rax, rax ret ; return error code _invalid_flagp: mov rax, -1 ret fesetexceptflag ENDP END
31.493671
74
0.648714
[ "MIT" ]
jwtowner/upcaste
src/upcore/src/cfenv/msvc/x86_64/fesetexceptflag.x64.asm
2,488
Assembly
4
; ; jdclrmmx.asm - colorspace conversion (MMX) ; ; Copyright 2009 Pierre Ossman <[email protected]> for Cendio AB ; ; Based on ; x86 SIMD extension for IJG JPEG library ; Copyright (C) 1999-2006, MIYASAKA Masaru. ; For conditions of distribution and use, see copyright notice in jsimdext.inc ; ; This file should be assembled with NASM (Netwide Assembler), ; can *not* be assembled with Microsoft's MASM or any compatible ; assembler (including Borland's Turbo Assembler). ; NASM is available from http://nasm.sourceforge.net/ or ; http://sourceforge.net/project/showfiles.php?group_id=6208 ; ; [TAB8] %include "jcolsamp.inc" ; -------------------------------------------------------------------------- SECTION SEG_TEXT BITS 32 ; ; Convert some rows of samples to the output colorspace. ; ; GLOBAL(void) ; jsimd_ycc_rgb_convert_mmx (JDIMENSION out_width, ; JSAMPIMAGE input_buf, JDIMENSION input_row, ; JSAMPARRAY output_buf, int num_rows) ; %define out_width(b) (b)+8 ; JDIMENSION out_width %define input_buf(b) (b)+12 ; JSAMPIMAGE input_buf %define input_row(b) (b)+16 ; JDIMENSION input_row %define output_buf(b) (b)+20 ; JSAMPARRAY output_buf %define num_rows(b) (b)+24 ; int num_rows %define original_ebp ebp+0 %define wk(i) ebp-(WK_NUM-(i))*SIZEOF_MMWORD ; mmword wk[WK_NUM] %define WK_NUM 2 %define gotptr wk(0)-SIZEOF_POINTER ; void * gotptr align 16 global EXTN(jsimd_ycc_rgb_convert_mmx) EXTN(jsimd_ycc_rgb_convert_mmx): push ebp mov eax,esp ; eax = original ebp sub esp, byte 4 and esp, byte (-SIZEOF_MMWORD) ; align to 64 bits mov [esp],eax mov ebp,esp ; ebp = aligned ebp lea esp, [wk(0)] pushpic eax ; make a room for GOT address push ebx ; push ecx ; need not be preserved ; push edx ; need not be preserved push esi push edi get_GOT ebx ; get GOT address movpic POINTER [gotptr], ebx ; save GOT address mov ecx, JDIMENSION [out_width(eax)] ; num_cols test ecx,ecx jz near .return push ecx mov edi, JSAMPIMAGE [input_buf(eax)] mov ecx, JDIMENSION [input_row(eax)] mov esi, JSAMPARRAY [edi+0*SIZEOF_JSAMPARRAY] mov ebx, JSAMPARRAY [edi+1*SIZEOF_JSAMPARRAY] mov edx, JSAMPARRAY [edi+2*SIZEOF_JSAMPARRAY] lea esi, [esi+ecx*SIZEOF_JSAMPROW] lea ebx, [ebx+ecx*SIZEOF_JSAMPROW] lea edx, [edx+ecx*SIZEOF_JSAMPROW] pop ecx mov edi, JSAMPARRAY [output_buf(eax)] mov eax, INT [num_rows(eax)] test eax,eax jle near .return alignx 16,7 .rowloop: push eax push edi push edx push ebx push esi push ecx ; col mov esi, JSAMPROW [esi] ; inptr0 mov ebx, JSAMPROW [ebx] ; inptr1 mov edx, JSAMPROW [edx] ; inptr2 mov edi, JSAMPROW [edi] ; outptr movpic eax, POINTER [gotptr] ; load GOT address (eax) alignx 16,7 .columnloop: movq mm5, MMWORD [ebx] ; mm5=Cb(01234567) movq mm1, MMWORD [edx] ; mm1=Cr(01234567) pcmpeqw mm4,mm4 pcmpeqw mm7,mm7 psrlw mm4,BYTE_BIT psllw mm7,7 ; mm7={0xFF80 0xFF80 0xFF80 0xFF80} movq mm0,mm4 ; mm0=mm4={0xFF 0x00 0xFF 0x00 ..} pand mm4,mm5 ; mm4=Cb(0246)=CbE psrlw mm5,BYTE_BIT ; mm5=Cb(1357)=CbO pand mm0,mm1 ; mm0=Cr(0246)=CrE psrlw mm1,BYTE_BIT ; mm1=Cr(1357)=CrO paddw mm4,mm7 paddw mm5,mm7 paddw mm0,mm7 paddw mm1,mm7 ; (Original) ; R = Y + 1.40200 * Cr ; G = Y - 0.34414 * Cb - 0.71414 * Cr ; B = Y + 1.77200 * Cb ; ; (This implementation) ; R = Y + 0.40200 * Cr + Cr ; G = Y - 0.34414 * Cb + 0.28586 * Cr - Cr ; B = Y - 0.22800 * Cb + Cb + Cb movq mm2,mm4 ; mm2=CbE movq mm3,mm5 ; mm3=CbO paddw mm4,mm4 ; mm4=2*CbE paddw mm5,mm5 ; mm5=2*CbO movq mm6,mm0 ; mm6=CrE movq mm7,mm1 ; mm7=CrO paddw mm0,mm0 ; mm0=2*CrE paddw mm1,mm1 ; mm1=2*CrO pmulhw mm4,[GOTOFF(eax,PW_MF0228)] ; mm4=(2*CbE * -FIX(0.22800)) pmulhw mm5,[GOTOFF(eax,PW_MF0228)] ; mm5=(2*CbO * -FIX(0.22800)) pmulhw mm0,[GOTOFF(eax,PW_F0402)] ; mm0=(2*CrE * FIX(0.40200)) pmulhw mm1,[GOTOFF(eax,PW_F0402)] ; mm1=(2*CrO * FIX(0.40200)) paddw mm4,[GOTOFF(eax,PW_ONE)] paddw mm5,[GOTOFF(eax,PW_ONE)] psraw mm4,1 ; mm4=(CbE * -FIX(0.22800)) psraw mm5,1 ; mm5=(CbO * -FIX(0.22800)) paddw mm0,[GOTOFF(eax,PW_ONE)] paddw mm1,[GOTOFF(eax,PW_ONE)] psraw mm0,1 ; mm0=(CrE * FIX(0.40200)) psraw mm1,1 ; mm1=(CrO * FIX(0.40200)) paddw mm4,mm2 paddw mm5,mm3 paddw mm4,mm2 ; mm4=(CbE * FIX(1.77200))=(B-Y)E paddw mm5,mm3 ; mm5=(CbO * FIX(1.77200))=(B-Y)O paddw mm0,mm6 ; mm0=(CrE * FIX(1.40200))=(R-Y)E paddw mm1,mm7 ; mm1=(CrO * FIX(1.40200))=(R-Y)O movq MMWORD [wk(0)], mm4 ; wk(0)=(B-Y)E movq MMWORD [wk(1)], mm5 ; wk(1)=(B-Y)O movq mm4,mm2 movq mm5,mm3 punpcklwd mm2,mm6 punpckhwd mm4,mm6 pmaddwd mm2,[GOTOFF(eax,PW_MF0344_F0285)] pmaddwd mm4,[GOTOFF(eax,PW_MF0344_F0285)] punpcklwd mm3,mm7 punpckhwd mm5,mm7 pmaddwd mm3,[GOTOFF(eax,PW_MF0344_F0285)] pmaddwd mm5,[GOTOFF(eax,PW_MF0344_F0285)] paddd mm2,[GOTOFF(eax,PD_ONEHALF)] paddd mm4,[GOTOFF(eax,PD_ONEHALF)] psrad mm2,SCALEBITS psrad mm4,SCALEBITS paddd mm3,[GOTOFF(eax,PD_ONEHALF)] paddd mm5,[GOTOFF(eax,PD_ONEHALF)] psrad mm3,SCALEBITS psrad mm5,SCALEBITS packssdw mm2,mm4 ; mm2=CbE*-FIX(0.344)+CrE*FIX(0.285) packssdw mm3,mm5 ; mm3=CbO*-FIX(0.344)+CrO*FIX(0.285) psubw mm2,mm6 ; mm2=CbE*-FIX(0.344)+CrE*-FIX(0.714)=(G-Y)E psubw mm3,mm7 ; mm3=CbO*-FIX(0.344)+CrO*-FIX(0.714)=(G-Y)O movq mm5, MMWORD [esi] ; mm5=Y(01234567) pcmpeqw mm4,mm4 psrlw mm4,BYTE_BIT ; mm4={0xFF 0x00 0xFF 0x00 ..} pand mm4,mm5 ; mm4=Y(0246)=YE psrlw mm5,BYTE_BIT ; mm5=Y(1357)=YO paddw mm0,mm4 ; mm0=((R-Y)E+YE)=RE=(R0 R2 R4 R6) paddw mm1,mm5 ; mm1=((R-Y)O+YO)=RO=(R1 R3 R5 R7) packuswb mm0,mm0 ; mm0=(R0 R2 R4 R6 ** ** ** **) packuswb mm1,mm1 ; mm1=(R1 R3 R5 R7 ** ** ** **) paddw mm2,mm4 ; mm2=((G-Y)E+YE)=GE=(G0 G2 G4 G6) paddw mm3,mm5 ; mm3=((G-Y)O+YO)=GO=(G1 G3 G5 G7) packuswb mm2,mm2 ; mm2=(G0 G2 G4 G6 ** ** ** **) packuswb mm3,mm3 ; mm3=(G1 G3 G5 G7 ** ** ** **) paddw mm4, MMWORD [wk(0)] ; mm4=(YE+(B-Y)E)=BE=(B0 B2 B4 B6) paddw mm5, MMWORD [wk(1)] ; mm5=(YO+(B-Y)O)=BO=(B1 B3 B5 B7) packuswb mm4,mm4 ; mm4=(B0 B2 B4 B6 ** ** ** **) packuswb mm5,mm5 ; mm5=(B1 B3 B5 B7 ** ** ** **) %if RGB_PIXELSIZE == 3 ; --------------- ; mmA=(00 02 04 06 ** ** ** **), mmB=(01 03 05 07 ** ** ** **) ; mmC=(10 12 14 16 ** ** ** **), mmD=(11 13 15 17 ** ** ** **) ; mmE=(20 22 24 26 ** ** ** **), mmF=(21 23 25 27 ** ** ** **) ; mmG=(** ** ** ** ** ** ** **), mmH=(** ** ** ** ** ** ** **) punpcklbw mmA,mmC ; mmA=(00 10 02 12 04 14 06 16) punpcklbw mmE,mmB ; mmE=(20 01 22 03 24 05 26 07) punpcklbw mmD,mmF ; mmD=(11 21 13 23 15 25 17 27) movq mmG,mmA movq mmH,mmA punpcklwd mmA,mmE ; mmA=(00 10 20 01 02 12 22 03) punpckhwd mmG,mmE ; mmG=(04 14 24 05 06 16 26 07) psrlq mmH,2*BYTE_BIT ; mmH=(02 12 04 14 06 16 -- --) psrlq mmE,2*BYTE_BIT ; mmE=(22 03 24 05 26 07 -- --) movq mmC,mmD movq mmB,mmD punpcklwd mmD,mmH ; mmD=(11 21 02 12 13 23 04 14) punpckhwd mmC,mmH ; mmC=(15 25 06 16 17 27 -- --) psrlq mmB,2*BYTE_BIT ; mmB=(13 23 15 25 17 27 -- --) movq mmF,mmE punpcklwd mmE,mmB ; mmE=(22 03 13 23 24 05 15 25) punpckhwd mmF,mmB ; mmF=(26 07 17 27 -- -- -- --) punpckldq mmA,mmD ; mmA=(00 10 20 01 11 21 02 12) punpckldq mmE,mmG ; mmE=(22 03 13 23 04 14 24 05) punpckldq mmC,mmF ; mmC=(15 25 06 16 26 07 17 27) cmp ecx, byte SIZEOF_MMWORD jb short .column_st16 movq MMWORD [edi+0*SIZEOF_MMWORD], mmA movq MMWORD [edi+1*SIZEOF_MMWORD], mmE movq MMWORD [edi+2*SIZEOF_MMWORD], mmC sub ecx, byte SIZEOF_MMWORD jz short .nextrow add esi, byte SIZEOF_MMWORD ; inptr0 add ebx, byte SIZEOF_MMWORD ; inptr1 add edx, byte SIZEOF_MMWORD ; inptr2 add edi, byte RGB_PIXELSIZE*SIZEOF_MMWORD ; outptr jmp near .columnloop alignx 16,7 .column_st16: lea ecx, [ecx+ecx*2] ; imul ecx, RGB_PIXELSIZE cmp ecx, byte 2*SIZEOF_MMWORD jb short .column_st8 movq MMWORD [edi+0*SIZEOF_MMWORD], mmA movq MMWORD [edi+1*SIZEOF_MMWORD], mmE movq mmA,mmC sub ecx, byte 2*SIZEOF_MMWORD add edi, byte 2*SIZEOF_MMWORD jmp short .column_st4 .column_st8: cmp ecx, byte SIZEOF_MMWORD jb short .column_st4 movq MMWORD [edi+0*SIZEOF_MMWORD], mmA movq mmA,mmE sub ecx, byte SIZEOF_MMWORD add edi, byte SIZEOF_MMWORD .column_st4: movd eax,mmA cmp ecx, byte SIZEOF_DWORD jb short .column_st2 mov DWORD [edi+0*SIZEOF_DWORD], eax psrlq mmA,DWORD_BIT movd eax,mmA sub ecx, byte SIZEOF_DWORD add edi, byte SIZEOF_DWORD .column_st2: cmp ecx, byte SIZEOF_WORD jb short .column_st1 mov WORD [edi+0*SIZEOF_WORD], ax shr eax,WORD_BIT sub ecx, byte SIZEOF_WORD add edi, byte SIZEOF_WORD .column_st1: cmp ecx, byte SIZEOF_BYTE jb short .nextrow mov BYTE [edi+0*SIZEOF_BYTE], al %else ; RGB_PIXELSIZE == 4 ; ----------- %ifdef RGBX_FILLER_0XFF pcmpeqb mm6,mm6 ; mm6=(X0 X2 X4 X6 ** ** ** **) pcmpeqb mm7,mm7 ; mm7=(X1 X3 X5 X7 ** ** ** **) %else pxor mm6,mm6 ; mm6=(X0 X2 X4 X6 ** ** ** **) pxor mm7,mm7 ; mm7=(X1 X3 X5 X7 ** ** ** **) %endif ; mmA=(00 02 04 06 ** ** ** **), mmB=(01 03 05 07 ** ** ** **) ; mmC=(10 12 14 16 ** ** ** **), mmD=(11 13 15 17 ** ** ** **) ; mmE=(20 22 24 26 ** ** ** **), mmF=(21 23 25 27 ** ** ** **) ; mmG=(30 32 34 36 ** ** ** **), mmH=(31 33 35 37 ** ** ** **) punpcklbw mmA,mmC ; mmA=(00 10 02 12 04 14 06 16) punpcklbw mmE,mmG ; mmE=(20 30 22 32 24 34 26 36) punpcklbw mmB,mmD ; mmB=(01 11 03 13 05 15 07 17) punpcklbw mmF,mmH ; mmF=(21 31 23 33 25 35 27 37) movq mmC,mmA punpcklwd mmA,mmE ; mmA=(00 10 20 30 02 12 22 32) punpckhwd mmC,mmE ; mmC=(04 14 24 34 06 16 26 36) movq mmG,mmB punpcklwd mmB,mmF ; mmB=(01 11 21 31 03 13 23 33) punpckhwd mmG,mmF ; mmG=(05 15 25 35 07 17 27 37) movq mmD,mmA punpckldq mmA,mmB ; mmA=(00 10 20 30 01 11 21 31) punpckhdq mmD,mmB ; mmD=(02 12 22 32 03 13 23 33) movq mmH,mmC punpckldq mmC,mmG ; mmC=(04 14 24 34 05 15 25 35) punpckhdq mmH,mmG ; mmH=(06 16 26 36 07 17 27 37) cmp ecx, byte SIZEOF_MMWORD jb short .column_st16 movq MMWORD [edi+0*SIZEOF_MMWORD], mmA movq MMWORD [edi+1*SIZEOF_MMWORD], mmD movq MMWORD [edi+2*SIZEOF_MMWORD], mmC movq MMWORD [edi+3*SIZEOF_MMWORD], mmH sub ecx, byte SIZEOF_MMWORD jz short .nextrow add esi, byte SIZEOF_MMWORD ; inptr0 add ebx, byte SIZEOF_MMWORD ; inptr1 add edx, byte SIZEOF_MMWORD ; inptr2 add edi, byte RGB_PIXELSIZE*SIZEOF_MMWORD ; outptr jmp near .columnloop alignx 16,7 .column_st16: cmp ecx, byte SIZEOF_MMWORD/2 jb short .column_st8 movq MMWORD [edi+0*SIZEOF_MMWORD], mmA movq MMWORD [edi+1*SIZEOF_MMWORD], mmD movq mmA,mmC movq mmD,mmH sub ecx, byte SIZEOF_MMWORD/2 add edi, byte 2*SIZEOF_MMWORD .column_st8: cmp ecx, byte SIZEOF_MMWORD/4 jb short .column_st4 movq MMWORD [edi+0*SIZEOF_MMWORD], mmA movq mmA,mmD sub ecx, byte SIZEOF_MMWORD/4 add edi, byte 1*SIZEOF_MMWORD .column_st4: cmp ecx, byte SIZEOF_MMWORD/8 jb short .nextrow movd DWORD [edi+0*SIZEOF_DWORD], mmA %endif ; RGB_PIXELSIZE ; --------------- alignx 16,7 .nextrow: pop ecx pop esi pop ebx pop edx pop edi pop eax add esi, byte SIZEOF_JSAMPROW add ebx, byte SIZEOF_JSAMPROW add edx, byte SIZEOF_JSAMPROW add edi, byte SIZEOF_JSAMPROW ; output_buf dec eax ; num_rows jg near .rowloop emms ; empty MMX state .return: pop edi pop esi ; pop edx ; need not be preserved ; pop ecx ; need not be preserved pop ebx mov esp,ebp ; esp <- aligned ebp pop esp ; esp <- original ebp pop ebp ret ; For some reason, the OS X linker does not honor the request to align the ; segment unless we do this. align 16
28.625
78
0.644747
[ "MIT" ]
SKplanet/RemoteTestService
TA/libjpeg/simd/jdclrmmx.asm
11,679
Assembly
4
; A043565: Number of runs in base-13 representation of n. ; 1,1,1,1,1,1,1,1,1,1,1,1,1,2,1,2,2,2,2,2,2,2,2,2,2,2,2,2,1,2,2,2,2,2,2,2,2,2,2,2,2,2,1,2,2,2,2,2,2,2,2,2,2,2,2,2,1,2,2,2,2,2,2,2,2,2,2,2,2,2,1,2,2,2,2,2,2,2,2,2,2,2,2,2,1,2,2,2,2,2 dif $0,14 sub $0,1 mul $0,4 lpb $0 mov $0,45 add $1,1 lpe add $1,1 mov $0,$1
24.769231
181
0.552795
[ "Apache-2.0" ]
ckrause/cm
programs/oeis/043/A043565.asm
322
Assembly
4
; @Author: Piyush Mehta assume cs:code,ds:data data segment pa equ 20a0h pb equ 20a1h pc equ 20a2h cr equ 20a3h data ends code segment start: mov ax,data mov ds,ax mov al,82h mov dx,cr out dx,al mov dx,pa mov al,00h out dx,al mov al,0f0h out dx,al mov dx,pb scan_agn: in al,dx and al,0fh cmp al,0fh je scan_agn mov cl,01 rot_agn: ror al,1 jc next jmp start_mov next: add cl,03h jmp rot_agn start_mov: mov dx,pa mov al,0f0h next_led: out dx,al call delay inc al dec cl jnz next_led call delay call delay dec al and al,0fh come_down: out dx,al call delay dec al cmp al,00h jge come_down mov ah,4ch int 21h delay proc mov bx,02fffh l2: mov di,0ffffh l1: dec di jnz l1 dec bx jnz l2 ret delay endp code ends end start
19.753425
33
0.375173
[ "MIT" ]
ishank-dev/College-Work
Semester4/MicroprocessorLab/Hardware/10_Elevator.asm
1,442
Assembly
4
;; ;; Copyright (c) 2012-2020, Intel Corporation ;; ;; Redistribution and use in source and binary forms, with or without ;; modification, are permitted provided that the following conditions are met: ;; ;; * Redistributions of source code must retain the above copyright notice, ;; this list of conditions and the following disclaimer. ;; * Redistributions in binary form must reproduce the above copyright ;; notice, this list of conditions and the following disclaimer in the ;; documentation and/or other materials provided with the distribution. ;; * Neither the name of Intel Corporation nor the names of its contributors ;; may be used to endorse or promote products derived from this software ;; without specific prior written permission. ;; ;; THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" ;; AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE ;; IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE ;; DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE ;; FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL ;; DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR ;; SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER ;; CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, ;; OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE ;; OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. ;; %include "include/os.asm" ;%define DO_DBGPRINT %include "include/dbgprint.asm" %include "include/cet.inc" %include "include/mb_mgr_datastruct.asm" %include "include/clear_regs.asm" section .data default rel align 16 PSHUFFLE_BYTE_FLIP_MASK: ;ddq 0x0c0d0e0f08090a0b0405060700010203 dq 0x0405060700010203, 0x0c0d0e0f08090a0b K00_19: ;ddq 0x5A8279995A8279995A8279995A827999 dq 0x5A8279995A827999, 0x5A8279995A827999 K20_39: ;ddq 0x6ED9EBA16ED9EBA16ED9EBA16ED9EBA1 dq 0x6ED9EBA16ED9EBA1, 0x6ED9EBA16ED9EBA1 K40_59: ;ddq 0x8F1BBCDC8F1BBCDC8F1BBCDC8F1BBCDC dq 0x8F1BBCDC8F1BBCDC, 0x8F1BBCDC8F1BBCDC K60_79: ;ddq 0xCA62C1D6CA62C1D6CA62C1D6CA62C1D6 dq 0xCA62C1D6CA62C1D6, 0xCA62C1D6CA62C1D6 section .text ;; code to compute quad SHA1 using SSE ;; derived from ...\sha1_multiple\sha1_quad4.asm ;; variation of sha1_mult2.asm : clobbers all xmm regs, rcx left intact ;; rbx, rsi, rdi, rbp, r12-r15 left intact ;; This version is not safe to call from C/C++ ;; Stack must be aligned to 16 bytes before call ;; Windows clobbers: rax rdx r8 r9 r10 r11 ;; Windows preserves: rbx rcx rsi rdi rbp r12 r13 r14 r15 ;; ;; Linux clobbers: rax rsi r8 r9 r10 r11 ;; Linux preserves: rbx rcx rdx rdi rbp r12 r13 r14 r15 ;; ;; clobbers xmm0-15 ; transpose r0, r1, r2, r3, t0, t1 ; "transpose" data in {r0..r3} using temps {t0..t3} ; Input looks like: {r0 r1 r2 r3} ; r0 = {a3 a2 a1 a0} ; r1 = {b3 b2 b1 b0} ; r2 = {c3 c2 c1 c0} ; r3 = {d3 d2 d1 d0} ; ; output looks like: {t0 r1 r0 r3} ; t0 = {d0 c0 b0 a0} ; r1 = {d1 c1 b1 a1} ; r0 = {d2 c2 b2 a2} ; r3 = {d3 c3 b3 a3} ; %macro TRANSPOSE 6 %define %%r0 %1 %define %%r1 %2 %define %%r2 %3 %define %%r3 %4 %define %%t0 %5 %define %%t1 %6 movaps %%t0, %%r0 ; t0 = {a3 a2 a1 a0} shufps %%t0, %%r1, 0x44 ; t0 = {b1 b0 a1 a0} shufps %%r0, %%r1, 0xEE ; r0 = {b3 b2 a3 a2} movaps %%t1, %%r2 ; t1 = {c3 c2 c1 c0} shufps %%t1, %%r3, 0x44 ; t1 = {d1 d0 c1 c0} shufps %%r2, %%r3, 0xEE ; r2 = {d3 d2 c3 c2} movaps %%r1, %%t0 ; r1 = {b1 b0 a1 a0} shufps %%r1, %%t1, 0xDD ; r1 = {d1 c1 b1 a1} movaps %%r3, %%r0 ; r3 = {b3 b2 a3 a2} shufps %%r3, %%r2, 0xDD ; r3 = {d3 c3 b3 a3} shufps %%r0, %%r2, 0x88 ; r0 = {d2 c2 b2 a2} shufps %%t0, %%t1, 0x88 ; t0 = {d0 c0 b0 a0} %endmacro ;; ;; Magic functions defined in FIPS 180-1 ;; ; macro MAGIC_F0 F,B,C,D,T ;; F = (D ^ (B & (C ^ D))) %macro MAGIC_F0 5 %define %%regF %1 %define %%regB %2 %define %%regC %3 %define %%regD %4 %define %%regT %5 movdqa %%regF,%%regC pxor %%regF,%%regD pand %%regF,%%regB pxor %%regF,%%regD %endmacro ; macro MAGIC_F1 F,B,C,D,T ;; F = (B ^ C ^ D) %macro MAGIC_F1 5 %define %%regF %1 %define %%regB %2 %define %%regC %3 %define %%regD %4 %define %%regT %5 movdqa %%regF,%%regD pxor %%regF,%%regC pxor %%regF,%%regB %endmacro ; macro MAGIC_F2 F,B,C,D,T ;; F = ((B & C) | (B & D) | (C & D)) %macro MAGIC_F2 5 %define %%regF %1 %define %%regB %2 %define %%regC %3 %define %%regD %4 %define %%regT %5 movdqa %%regF,%%regB movdqa %%regT,%%regB por %%regF,%%regC pand %%regT,%%regC pand %%regF,%%regD por %%regF,%%regT %endmacro ; macro MAGIC_F3 F,B,C,D,T ;; F = (B ^ C ^ D) %macro MAGIC_F3 5 %define %%regF %1 %define %%regB %2 %define %%regC %3 %define %%regD %4 %define %%regT %5 MAGIC_F1 %%regF,%%regB,%%regC,%%regD,%%regT %endmacro ; PROLD reg, imm, tmp %macro PROLD 3 %define %%reg %1 %define %%imm %2 %define %%tmp %3 movdqa %%tmp, %%reg pslld %%reg, %%imm psrld %%tmp, (32-%%imm) por %%reg, %%tmp %endmacro %macro SHA1_STEP_00_15 10 %define %%regA %1 %define %%regB %2 %define %%regC %3 %define %%regD %4 %define %%regE %5 %define %%regT %6 %define %%regF %7 %define %%memW %8 %define %%immCNT %9 %define %%MAGIC %10 paddd %%regE,%%immCNT paddd %%regE,[rsp + (%%memW * 16)] movdqa %%regT,%%regA PROLD %%regT,5, %%regF paddd %%regE,%%regT %%MAGIC %%regF,%%regB,%%regC,%%regD,%%regT ;; FUN = MAGIC_Fi(B,C,D) PROLD %%regB,30, %%regT paddd %%regE,%%regF %endmacro %macro SHA1_STEP_16_79 10 %define %%regA %1 %define %%regB %2 %define %%regC %3 %define %%regD %4 %define %%regE %5 %define %%regT %6 %define %%regF %7 %define %%memW %8 %define %%immCNT %9 %define %%MAGIC %10 paddd %%regE,%%immCNT movdqa W14, [rsp + ((%%memW - 14) & 15) * 16] pxor W16, W14 pxor W16, [rsp + ((%%memW - 8) & 15) * 16] pxor W16, [rsp + ((%%memW - 3) & 15) * 16] movdqa %%regF, W16 pslld W16, 1 psrld %%regF, (32-1) por %%regF, W16 ROTATE_W movdqa [rsp + ((%%memW - 0) & 15) * 16],%%regF paddd %%regE,%%regF movdqa %%regT,%%regA PROLD %%regT,5, %%regF paddd %%regE,%%regT %%MAGIC %%regF,%%regB,%%regC,%%regD,%%regT ;; FUN = MAGIC_Fi(B,C,D) PROLD %%regB,30, %%regT paddd %%regE,%%regF %endmacro ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; ;; FRAMESZ must be an odd multiple of 8 %define FRAMESZ 16*16 + 8 %define MOVPS movdqu %ifdef LINUX %define arg1 rdi %define arg2 rsi %else %define arg1 rcx %define arg2 rdx %endif %define inp0 r8 %define inp1 r9 %define inp2 r10 %define inp3 r11 %define IDX rax %define A xmm0 %define B xmm1 %define C xmm2 %define D xmm3 %define E xmm4 %define F xmm5 ; tmp %define G xmm6 ; tmp %define TMP G %define FUN F %define K xmm7 %define AA xmm8 %define BB xmm9 %define CC xmm10 %define DD xmm11 %define EE xmm12 %define T0 xmm6 %define T1 xmm7 %define T2 xmm8 %define T3 xmm9 %define T4 xmm10 %define T5 xmm11 %define W14 xmm13 %define W15 xmm14 %define W16 xmm15 %macro ROTATE_ARGS 0 %xdefine TMP_ E %xdefine E D %xdefine D C %xdefine C B %xdefine B A %xdefine A TMP_ %endm %macro ROTATE_W 0 %xdefine TMP_ W16 %xdefine W16 W15 %xdefine W15 W14 %xdefine W14 TMP_ %endm align 32 ; XMM registers are clobbered. Saving/restoring must be done at a higher level ; void sha1_mult_sse(SHA1_ARGS *args, UINT32 size_in_blocks); ; arg 1 : rcx : pointer to args ; arg 2 : rdx : size (in blocks) ;; assumed to be >= 1 MKGLOBAL(sha1_mult_sse,function,internal) sha1_mult_sse: endbranch64 sub rsp, FRAMESZ ;; Initialize digests movdqa A, [arg1 + 0*SHA1_DIGEST_ROW_SIZE] movdqa B, [arg1 + 1*SHA1_DIGEST_ROW_SIZE] movdqa C, [arg1 + 2*SHA1_DIGEST_ROW_SIZE] movdqa D, [arg1 + 3*SHA1_DIGEST_ROW_SIZE] movdqa E, [arg1 + 4*SHA1_DIGEST_ROW_SIZE] DBGPRINTL_XMM "Sha1-SSE Incoming transposed digest", A, B, C, D, E ;; load input pointers mov inp0,[arg1 + _data_ptr_sha1 + 0*PTR_SZ] mov inp1,[arg1 + _data_ptr_sha1 + 1*PTR_SZ] mov inp2,[arg1 + _data_ptr_sha1 + 2*PTR_SZ] mov inp3,[arg1 + _data_ptr_sha1 + 3*PTR_SZ] DBGPRINTL64 "Sha1-SSE Incoming data ptrs", inp0, inp1, inp2, inp3 xor IDX, IDX lloop: movdqa F, [rel PSHUFFLE_BYTE_FLIP_MASK] %assign I 0 %rep 4 MOVPS T2,[inp0+IDX] MOVPS T1,[inp1+IDX] MOVPS T4,[inp2+IDX] MOVPS T3,[inp3+IDX] TRANSPOSE T2, T1, T4, T3, T0, T5 DBGPRINTL_XMM "sha1 incoming data", T0, T1, T2, T3 pshufb T0, F movdqa [rsp+(I*4+0)*16],T0 pshufb T1, F movdqa [rsp+(I*4+1)*16],T1 pshufb T2, F movdqa [rsp+(I*4+2)*16],T2 pshufb T3, F movdqa [rsp+(I*4+3)*16],T3 add IDX, 4*4 %assign I (I+1) %endrep ; save old digests movdqa AA, A movdqa BB, B movdqa CC, C movdqa DD, D movdqa EE, E ;; ;; perform 0-79 steps ;; movdqa K, [rel K00_19] ;; do rounds 0...15 %assign I 0 %rep 16 SHA1_STEP_00_15 A,B,C,D,E, TMP,FUN, I, K, MAGIC_F0 ROTATE_ARGS %assign I (I+1) %endrep ;; do rounds 16...19 movdqa W16, [rsp + ((16 - 16) & 15) * 16] movdqa W15, [rsp + ((16 - 15) & 15) * 16] %rep 4 SHA1_STEP_16_79 A,B,C,D,E, TMP,FUN, I, K, MAGIC_F0 ROTATE_ARGS %assign I (I+1) %endrep ;; do rounds 20...39 movdqa K, [rel K20_39] %rep 20 SHA1_STEP_16_79 A,B,C,D,E, TMP,FUN, I, K, MAGIC_F1 ROTATE_ARGS %assign I (I+1) %endrep ;; do rounds 40...59 movdqa K, [rel K40_59] %rep 20 SHA1_STEP_16_79 A,B,C,D,E, TMP,FUN, I, K, MAGIC_F2 ROTATE_ARGS %assign I (I+1) %endrep ;; do rounds 60...79 movdqa K, [rel K60_79] %rep 20 SHA1_STEP_16_79 A,B,C,D,E, TMP,FUN, I, K, MAGIC_F3 ROTATE_ARGS %assign I (I+1) %endrep paddd A,AA paddd B,BB paddd C,CC paddd D,DD paddd E,EE sub arg2, 1 jne lloop ; write out digests movdqa [arg1 + 0*SHA1_DIGEST_ROW_SIZE], A movdqa [arg1 + 1*SHA1_DIGEST_ROW_SIZE], B movdqa [arg1 + 2*SHA1_DIGEST_ROW_SIZE], C movdqa [arg1 + 3*SHA1_DIGEST_ROW_SIZE], D movdqa [arg1 + 4*SHA1_DIGEST_ROW_SIZE], E DBGPRINTL_XMM "Sha1 Outgoing transposed digest", A, B, C, D, E ; update input pointers add inp0, IDX mov [arg1 + _data_ptr_sha1 + 0*PTR_SZ], inp0 add inp1, IDX mov [arg1 + _data_ptr_sha1 + 1*PTR_SZ], inp1 add inp2, IDX mov [arg1 + _data_ptr_sha1 + 2*PTR_SZ], inp2 add inp3, IDX mov [arg1 + _data_ptr_sha1 + 3*PTR_SZ], inp3 DBGPRINTL64 "Sha1-sse outgoing data ptrs", inp0, inp1, inp2, inp3 ;;;;;;;;;;;;;;;; ;; Postamble ;; Clear stack frame (16*16 bytes) %ifdef SAFE_DATA pxor xmm0, xmm0 %assign i 0 %rep 16 movdqa [rsp + i*16], xmm0 %assign i (i+1) %endrep clear_all_xmms_sse_asm %endif add rsp, FRAMESZ ret %ifdef LINUX section .note.GNU-stack noalloc noexec nowrite progbits %endif
24.840183
81
0.647886
[ "BSD-3-Clause" ]
dalekzhangdong/intel-ipsec-mb
lib/sse/sha1_mult_sse.asm
10,880
Assembly
4
; A100445: Bisection of A000255. ; Submitted by Jamie Morken(s3) ; 1,11,309,16687,1468457,190899411,34361893981,8178130767479,2486151753313617,939765362752547227,432292066866171724421,237760636776394448431551,154068892631103602583645049 mul $0,2 add $0,1 seq $0,255 ; a(n) = n*a(n-1) + (n-1)*a(n-2), a(0) = 1, a(1) = 1.
40.125
171
0.747664
[ "Apache-2.0" ]
ckrause/loda-programs
oeis/100/A100445.asm
321
Assembly
4
; A109720: Periodic sequence {0,1,1,1,1,1,1} or n^6 mod 7. ; 0,1,1,1,1,1,1,0,1,1,1,1,1,1,0,1,1,1,1,1,1,0,1,1,1,1,1,1,0,1,1,1,1,1,1,0,1,1,1,1,1,1,0,1,1,1,1,1,1,0,1,1,1,1,1,1,0,1,1,1,1,1,1,0,1,1,1,1,1,1,0,1,1,1,1,1,1,0,1,1,1,1,1,1,0,1,1,1,1,1,1,0,1,1,1,1,1,1,0,1 pow $0,6 mod $0,7
46.666667
201
0.528571
[ "Apache-2.0" ]
ckrause/cm
programs/oeis/109/A109720.asm
280
Assembly
4
;=============================================================================== ; BASIC Loader *=$0801 ; 10 SYS (2064) byte $0E, $08, $0A, $00, $9E, $20, $28, $32 byte $30, $36, $34, $29, $00, $00, $00 ; Our code starts at $0810 (2064 decimal) ; after the 15 bytes for the BASIC loader ;=============================================================================== ; Initialize ; Turn off interrupts to stop LIBSCREEN_WAIT failing every so ; often when the kernal interrupt syncs up with the scanline test sei ; Disable run/stop + restore keys lda #$FC sta $0328 ; Set border and background colors ; The last 3 parameters are not used yet LIBSCREEN_SETCOLORS Black, Black, Black, Black, Black ; Fill 1000 bytes (40x25) of screen memory LIBSCREEN_SET1000 SCREENRAM, SpaceCharacter ; Fill 1000 bytes (40x25) of color memory LIBSCREEN_SET1000 COLORRAM, White ; Set sprite multicolors LIBSPRITE_SETMULTICOLORS_VV MediumGray, DarkGray ; Set the memory location of the custom character set LIBSCREEN_SETCHARMEMORY 14 ; Initialize the game jsr gameAliensInit jsr gamePlayerInit ;=============================================================================== ; Update gMLoop ; Wait for scanline 255 LIBSCREEN_WAIT_V 255 ; Start code timer change border color ;inc EXTCOL ; Update the library jsr libInputUpdate jsr libSpritesUpdate ; Update the game jsr gameAliensUpdate jsr gameStarsUpdate jsr gamePlayerUpdate jsr gameBulletsUpdate ; End code timer reset border color ;dec EXTCOL ; Loop back to the start of the game loop jmp gMLoop
28.376812
81
0.513279
[ "MIT" ]
brawnydawg/retrojam4
resources/retrogamedev.chapters/chapter10/gameMain.asm
1,958
Assembly
4
; A001333: Numerators of continued fraction convergents to sqrt(2). ; 1,1,3,7,17,41,99,239,577,1393,3363,8119,19601,47321,114243,275807,665857,1607521,3880899,9369319,22619537,54608393,131836323,318281039,768398401,1855077841,4478554083,10812186007,26102926097,63018038201,152139002499,367296043199,886731088897,2140758220993,5168247530883,12477253282759,30122754096401,72722761475561,175568277047523,423859315570607,1023286908188737,2470433131948081,5964153172084899 mov $1,1 lpb $0 sub $0,1 mov $2,$1 add $3,$1 mov $1,$3 add $3,$2 lpe
45.666667
399
0.813869
[ "Apache-2.0" ]
jmorken/loda
programs/oeis/001/A001333.asm
548
Assembly
4
; A055037: Number of numbers <= n with an even number of prime factors (counted with multiplicity). ; 1,1,1,2,2,3,3,3,4,5,5,5,5,6,7,8,8,8,8,8,9,10,10,11,12,13,13,13,13,13,13,13,14,15,16,17,17,18,19,20,20,20,20,20,20,21,21,21,22,22,23,23,23,24,25,26,27,28,28,29,29,30,30,31,32,32,32,32,33,33,33,33,33,34,34,34 mov $1,$0 seq $1,55038 ; Number of numbers <= n with an odd number of prime factors (counted with multiplicity). sub $0,$1 add $0,1
55.25
208
0.676471
[ "Apache-2.0" ]
ckrause/cm
programs/oeis/055/A055037.asm
442
Assembly
4
; ; Copyright (c) 2021, Alliance for Open Media. All rights reserved ; ; This source code is subject to the terms of the BSD 2 Clause License and ; the Alliance for Open Media Patent License 1.0. If the BSD 2 Clause License ; was not distributed with this source code in the LICENSE file, you can ; obtain it at www.aomedia.org/license/software. If the Alliance for Open ; Media Patent License 1.0 was not distributed with this source code in the ; PATENTS file, you can obtain it at www.aomedia.org/license/patent. ; ARCH_ARM equ 0 ARCH_MIPS equ 0 ARCH_PPC equ 0 ARCH_X86 equ 0 ARCH_X86_64 equ 0 CONFIG_ACCOUNTING equ 0 CONFIG_ANALYZER equ 0 CONFIG_AV1_DECODER equ 1 CONFIG_AV1_ENCODER equ 1 CONFIG_AV1_HIGHBITDEPTH equ 0 CONFIG_AV1_TEMPORAL_DENOISING equ 1 CONFIG_BIG_ENDIAN equ 0 CONFIG_BITRATE_ACCURACY equ 0 CONFIG_BITSTREAM_DEBUG equ 0 CONFIG_COEFFICIENT_RANGE_CHECKING equ 0 CONFIG_COLLECT_COMPONENT_TIMING equ 0 CONFIG_COLLECT_PARTITION_STATS equ 0 CONFIG_COLLECT_RD_STATS equ 0 CONFIG_DEBUG equ 0 CONFIG_DENOISE equ 1 CONFIG_DISABLE_FULL_PIXEL_SPLIT_8X8 equ 1 CONFIG_DIST_8X8 equ 0 CONFIG_ENTROPY_STATS equ 0 CONFIG_EXCLUDE_SIMD_MISMATCH equ 0 CONFIG_FPMT_TEST equ 0 CONFIG_FRAME_PARALLEL_ENCODE equ 0 CONFIG_FRAME_PARALLEL_ENCODE_2 equ 0 CONFIG_GCC equ 1 CONFIG_GCOV equ 0 CONFIG_GPROF equ 0 CONFIG_INSPECTION equ 0 CONFIG_INTERNAL_STATS equ 0 CONFIG_INTER_STATS_ONLY equ 0 CONFIG_LIBYUV equ 0 CONFIG_MAX_DECODE_PROFILE equ 0 CONFIG_MISMATCH_DEBUG equ 0 CONFIG_MULTITHREAD equ 1 CONFIG_NN_V2 equ 0 CONFIG_NORMAL_TILE_MODE equ 1 CONFIG_OPTICAL_FLOW_API equ 0 CONFIG_OS_SUPPORT equ 1 CONFIG_PARTITION_SEARCH_ORDER equ 0 CONFIG_PIC equ 0 CONFIG_RD_COMMAND equ 0 CONFIG_RD_DEBUG equ 0 CONFIG_REALTIME_ONLY equ 1 CONFIG_RT_ML_PARTITIONING equ 0 CONFIG_RUNTIME_CPU_DETECT equ 1 CONFIG_SHARED equ 0 CONFIG_SHARP_SETTINGS equ 0 CONFIG_SIZE_LIMIT equ 1 CONFIG_SPATIAL_RESAMPLING equ 1 CONFIG_SPEED_STATS equ 0 CONFIG_THREE_PASS equ 0 CONFIG_TUNE_BUTTERAUGLI equ 0 CONFIG_TUNE_VMAF equ 0 CONFIG_WEBM_IO equ 1 DECODE_HEIGHT_LIMIT equ 16384 DECODE_WIDTH_LIMIT equ 16384 FORCE_HIGHBITDEPTH_DECODING equ 0 HAVE_AVX equ 0 HAVE_AVX2 equ 0 HAVE_DSPR2 equ 0 HAVE_FEXCEPT equ 1 HAVE_MIPS32 equ 0 HAVE_MIPS64 equ 0 HAVE_MMX equ 0 HAVE_MSA equ 0 HAVE_NEON equ 0 HAVE_PTHREAD_H equ 1 HAVE_SSE equ 0 HAVE_SSE2 equ 0 HAVE_SSE3 equ 0 HAVE_SSE4_1 equ 0 HAVE_SSE4_2 equ 0 HAVE_SSSE3 equ 0 HAVE_UNISTD_H equ 1 HAVE_VSX equ 0 HAVE_WXWIDGETS equ 0 STATIC_LINK_JXL equ 0
26.923077
77
0.852653
[ "BSD-3-Clause-No-Nuclear-License-2014", "BSD-3-Clause" ]
chromium/chromium
third_party/libaom/source/config/linux/generic/config/aom_config.asm
2,450
Assembly
4
%macro print_string 2 mov eax, 4 mov ebx, 1 mov ecx, %1 mov edx, %2 int 80h %endmacro %macro exit 0 mov eax, 1 mov ebx, 0 int 80h %endmacro
10.9375
21
0.56
[ "Unlicense" ]
gashev/assembly-examples
02-include/macro.asm
175
Assembly
4
; A169720: a(n) = (3*2^(n-1)-1)*(3*2^n-1). ; 1,10,55,253,1081,4465,18145,73153,293761,1177345,4713985,18865153,75479041,301953025,1207885825,4831690753,19327057921,77308821505,309236465665,1236948221953,4947797606401,19791199862785,79164818325505,316659311050753,1266637319700481,5066549429796865 mov $1,2 pow $1,$0 bin $1,2 mul $1,9 add $1,1
38.222222
253
0.781977
[ "Apache-2.0" ]
jmorken/loda
programs/oeis/169/A169720.asm
344
Assembly
4
.global s_prepare_buffers s_prepare_buffers: push %r10 push %r11 push %r8 push %r9 push %rbp push %rcx push %rdi push %rsi lea addresses_D_ht+0x16427, %rsi lea addresses_A_ht+0x1a0ba, %rdi nop nop nop nop add %rbp, %rbp mov $99, %rcx rep movsb nop nop nop nop nop add $50042, %r10 lea addresses_D_ht+0x5d9f, %r8 nop nop sub $15557, %r11 mov $0x6162636465666768, %rcx movq %rcx, (%r8) nop nop nop nop cmp %rsi, %rsi lea addresses_D_ht+0x1de47, %rbp and %rsi, %rsi mov $0x6162636465666768, %r10 movq %r10, %xmm0 vmovups %ymm0, (%rbp) nop nop nop nop cmp %rsi, %rsi lea addresses_normal_ht+0x610f, %rsi lea addresses_UC_ht+0x470f, %rdi clflush (%rsi) nop nop nop nop nop and $40870, %r9 mov $36, %rcx rep movsw nop nop nop xor %r8, %r8 lea addresses_UC_ht+0x11d0f, %rsi lea addresses_A_ht+0xcaf, %rdi add %r9, %r9 mov $81, %rcx rep movsq nop nop nop cmp $22617, %r11 lea addresses_UC_ht+0x1c76d, %rsi lea addresses_D_ht+0x1220f, %rdi nop cmp $45246, %rbp mov $30, %rcx rep movsb nop nop add %rsi, %rsi lea addresses_D_ht+0xd10f, %rsi nop cmp $28078, %r9 mov (%rsi), %bp add $12322, %rsi lea addresses_D_ht+0x686f, %rcx nop nop nop nop add $42115, %rbp mov (%rcx), %r11 sub %rsi, %rsi lea addresses_UC_ht+0x157a3, %r11 nop nop nop nop and %r10, %r10 movb $0x61, (%r11) nop sub %rcx, %rcx lea addresses_WT_ht+0xefbb, %r9 nop nop nop sub %r8, %r8 movb $0x61, (%r9) nop xor %r9, %r9 lea addresses_A_ht+0x138d4, %rdi nop nop nop nop nop add $44031, %r11 movups (%rdi), %xmm4 vpextrq $1, %xmm4, %r8 nop nop nop nop nop inc %rdi lea addresses_D_ht+0x6d8f, %rcx nop nop nop nop sub $35017, %rbp vmovups (%rcx), %ymm5 vextracti128 $1, %ymm5, %xmm5 vpextrq $0, %xmm5, %r11 nop nop nop and $63189, %rsi lea addresses_D_ht+0xf10f, %rsi clflush (%rsi) nop nop nop nop nop dec %r11 mov $0x6162636465666768, %r8 movq %r8, %xmm5 vmovups %ymm5, (%rsi) xor %r10, %r10 lea addresses_normal_ht+0xd4fb, %rsi lea addresses_UC_ht+0x18e4f, %rdi nop cmp %r8, %r8 mov $74, %rcx rep movsq nop nop nop nop cmp %rbp, %rbp lea addresses_A_ht+0x510f, %rcx nop nop nop nop nop dec %r8 mov $0x6162636465666768, %rdi movq %rdi, %xmm4 movups %xmm4, (%rcx) nop nop nop and $53290, %r9 pop %rsi pop %rdi pop %rcx pop %rbp pop %r9 pop %r8 pop %r11 pop %r10 ret .global s_faulty_load s_faulty_load: push %r10 push %r11 push %r13 push %r15 push %r8 push %rbx push %rcx // Store lea addresses_WT+0x2ccf, %r10 nop sub $20805, %r8 mov $0x5152535455565758, %r15 movq %r15, (%r10) nop nop add $54024, %rcx // Store lea addresses_A+0x6faf, %rcx nop nop nop sub %r8, %r8 movb $0x51, (%rcx) nop nop nop and %r13, %r13 // Faulty Load lea addresses_RW+0x610f, %r11 dec %rbx mov (%r11), %ecx lea oracles, %r8 and $0xff, %rcx shlq $12, %rcx mov (%r8,%rcx,1), %rcx pop %rcx pop %rbx pop %r8 pop %r15 pop %r13 pop %r11 pop %r10 ret /* <gen_faulty_load> [REF] {'OP': 'LOAD', 'src': {'type': 'addresses_RW', 'AVXalign': False, 'congruent': 0, 'size': 8, 'same': False, 'NT': False}} {'OP': 'STOR', 'dst': {'type': 'addresses_WT', 'AVXalign': False, 'congruent': 6, 'size': 8, 'same': False, 'NT': False}} {'OP': 'STOR', 'dst': {'type': 'addresses_A', 'AVXalign': False, 'congruent': 5, 'size': 1, 'same': False, 'NT': True}} [Faulty Load] {'OP': 'LOAD', 'src': {'type': 'addresses_RW', 'AVXalign': False, 'congruent': 0, 'size': 4, 'same': True, 'NT': False}} <gen_prepare_buffer> {'OP': 'REPM', 'src': {'type': 'addresses_D_ht', 'congruent': 0, 'same': False}, 'dst': {'type': 'addresses_A_ht', 'congruent': 0, 'same': False}} {'OP': 'STOR', 'dst': {'type': 'addresses_D_ht', 'AVXalign': False, 'congruent': 0, 'size': 8, 'same': False, 'NT': False}} {'OP': 'STOR', 'dst': {'type': 'addresses_D_ht', 'AVXalign': False, 'congruent': 3, 'size': 32, 'same': True, 'NT': False}} {'OP': 'REPM', 'src': {'type': 'addresses_normal_ht', 'congruent': 9, 'same': False}, 'dst': {'type': 'addresses_UC_ht', 'congruent': 9, 'same': True}} {'OP': 'REPM', 'src': {'type': 'addresses_UC_ht', 'congruent': 10, 'same': False}, 'dst': {'type': 'addresses_A_ht', 'congruent': 0, 'same': False}} {'OP': 'REPM', 'src': {'type': 'addresses_UC_ht', 'congruent': 0, 'same': False}, 'dst': {'type': 'addresses_D_ht', 'congruent': 7, 'same': False}} {'OP': 'LOAD', 'src': {'type': 'addresses_D_ht', 'AVXalign': False, 'congruent': 10, 'size': 2, 'same': True, 'NT': False}} {'OP': 'LOAD', 'src': {'type': 'addresses_D_ht', 'AVXalign': False, 'congruent': 1, 'size': 8, 'same': False, 'NT': False}} {'OP': 'STOR', 'dst': {'type': 'addresses_UC_ht', 'AVXalign': False, 'congruent': 2, 'size': 1, 'same': False, 'NT': False}} {'OP': 'STOR', 'dst': {'type': 'addresses_WT_ht', 'AVXalign': False, 'congruent': 2, 'size': 1, 'same': True, 'NT': False}} {'OP': 'LOAD', 'src': {'type': 'addresses_A_ht', 'AVXalign': False, 'congruent': 0, 'size': 16, 'same': False, 'NT': False}} {'OP': 'LOAD', 'src': {'type': 'addresses_D_ht', 'AVXalign': False, 'congruent': 7, 'size': 32, 'same': False, 'NT': False}} {'OP': 'STOR', 'dst': {'type': 'addresses_D_ht', 'AVXalign': False, 'congruent': 11, 'size': 32, 'same': False, 'NT': False}} {'OP': 'REPM', 'src': {'type': 'addresses_normal_ht', 'congruent': 1, 'same': True}, 'dst': {'type': 'addresses_UC_ht', 'congruent': 5, 'same': False}} {'OP': 'STOR', 'dst': {'type': 'addresses_A_ht', 'AVXalign': False, 'congruent': 11, 'size': 16, 'same': True, 'NT': False}} {'32': 21829} 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 32 */
31.961686
2,999
0.654639
[ "MIT" ]
danielmgmi/medusa
Transynther/_processed/NONE/_xt_/i7-7700_9_0x48.log_21829_2358.asm
8,342
Assembly
4
; A132824: Row sums of triangle A132823. ; 1,2,2,4,10,24,54,116,242,496,1006,2028,4074,8168,16358,32740,65506,131040,262110,524252,1048538,2097112,4194262,8388564,16777170,33554384,67108814,134217676,268435402,536870856,1073741766,2147483588,4294967234,8589934528 mov $1,1 lpb $0 sub $0,1 mul $1,2 mov $2,$3 mov $3,2 add $3,$1 add $3,$2 sub $3,$1 lpe sub $1,$2
25.066667
222
0.718085
[ "Apache-2.0" ]
jmorken/loda
programs/oeis/132/A132824.asm
376
Assembly
4
Name: zel_play.asm Type: file Size: 356412 Last-Modified: '2016-05-13T04:22:15Z' SHA-1: ECAEBAFC3C5E8E4E810800E1EC64401D2EB7EFA1 Description: null
21
47
0.816327
[ "MIT" ]
prismotizm/gigaleak
other.7z/SFC.7z/SFC/ソースデータ/ゼルダの伝説神々のトライフォース/フランス_NES/N_F_asm/zel_play.asm
147
Assembly
4
;/*! ; @file ; ; @ingroup fapi ; ; @brief DosCreateThread DOS wrapper ; ; (c) osFree Project 2022, <http://www.osFree.org> ; for licence see licence.txt in root directory, or project website ; ; This is Family API implementation for DOS, used with BIND tools ; to link required API ; ; @author Yuri Prokushev ([email protected]) ; ; ; ;*/ .8086 ; Helpers INCLUDE helpers.inc _TEXT SEGMENT BYTE PUBLIC 'CODE' USE16 @PROLOG DOSCREATETHREAD @START DOSCREATETHREAD XOR AX, AX EXIT: @EPILOG DOSCREATETHREAD _TEXT ENDS END
15.216216
69
0.685613
[ "BSD-3-Clause" ]
osfree-project/FamilyAPI
dos/DosCreateThread.asm
563
Assembly
4
; A187298: Number of 3-step one space leftwards or up, two space rightwards or down asymmetric rook's tours on an n X n board summed over all starting positions. ; 0,2,36,98,198,330,494,690,918,1178,1470,1794,2150,2538,2958,3410,3894,4410,4958,5538,6150,6794,7470,8178,8918,9690,10494,11330,12198,13098,14030,14994,15990,17018,18078,19170,20294,21450,22638,23858,25110,26394,27710,29058,30438,31850,33294,34770,36278,37818 mov $2,$0 mov $7,$0 lpb $2 mov $0,$7 sub $2,1 sub $0,$2 mov $8,$0 mov $17,$0 mov $18,0 lpb $8 mov $0,$17 sub $8,1 sub $0,$8 mov $13,$0 mov $15,2 lpb $15 mov $0,$13 sub $15,1 add $0,$15 sub $0,1 mov $9,$0 mov $11,2 lpb $11 mov $0,$9 sub $11,1 add $0,$11 sub $0,1 mov $5,$0 mov $6,$0 mov $0,1 add $0,$6 mul $6,$5 mov $3,$6 add $3,$6 add $3,1 mul $3,5 lpb $0 mov $0,3 sub $3,3 lpe mov $4,$3 sub $4,$0 mov $6,$4 mov $12,$11 lpb $12 mov $10,$6 sub $12,1 lpe lpe lpb $9 mov $9,0 sub $10,$6 lpe mov $6,$10 mov $16,$15 lpb $16 mov $14,$6 sub $16,1 lpe lpe lpb $13 mov $13,0 sub $14,$6 lpe mov $6,$14 sub $6,4 mul $6,2 add $18,$6 lpe add $1,$18 lpe mov $0,$1
19.473684
260
0.472973
[ "Apache-2.0" ]
ckrause/cm
programs/oeis/187/A187298.asm
1,480
Assembly
4
else(8) JIP: LABEL0 { align16 1Q }; else(8) JIP: LABEL0 { align1 1Q }; else(16) JIP: LABEL0 { align1 1H }; LABEL0:
61.25
79
0.302041
[ "MIT" ]
SoftReaper/Mesa-Renoir-deb
src/intel/tools/tests/gen7.5/else.asm
245
Assembly
4
; A000749: a(n) = 4a(n-1) - 6a(n-2) + 4a(n-3), n > 3, with a(0)=a(1)=a(2)=0, a(3)=1. ; 0,0,0,1,4,10,20,36,64,120,240,496,1024,2080,4160,8256,16384,32640,65280,130816,262144,524800,1049600,2098176,4194304,8386560,16773120,33550336,67108864,134225920,268451840,536887296,1073741824,2147450880,4294901760,8589869056,17179869184,34359869440,68719738880,137439215616,274877906944,549755289600,1099510579200,2199022206976,4398046511104,8796095119360,17592190238720,35184376283136,70368744177664,140737479966720,281474959933440,562949936644096,1125899906842624,2251799847239680,4503599694479360,9007199321849856 mov $3,$0 mov $4,79 lpb $4 mov $2,$3 bin $2,$4 add $1,$2 sub $4,4 lpe
57
519
0.785088
[ "Apache-2.0" ]
jmorken/loda
programs/oeis/000/A000749.asm
684
Assembly
4